• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 90건

 제 1장 서론 제 1절 연구의 목적 제 2절 연구의 방법 및 개요 제 2장 이론적 고찰 제 1절 디지털카메라의 개황 1. 디지탈 카메라의 등장배경 2. 디지탈 이미지의 기록원리 3. 디지탈 카메라의 특성 4. 디지탈 카
  • 페이지 85페이지
  • 가격 9,900원
  • 발행일 2008.10.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
방법 및 연구설계 56 1. 연구모델 설정 56 2. 연구문제 및 가설 설정 57 3. 측정변수의 조작적 정의 59 4. 자료수집방법 64 5. 측정변수의 신뢰성 및 타당성 66 제2절. 분석 결과 73 1. 표본의 인구통계적 특성 73 2. 중요 변수의 인구통계적 특
  • 페이지 198페이지
  • 가격 5,000원
  • 발행일 2016.04.25
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
방법 및 구성 II. 저작권 보호 제도의 본질과 디지털 환경의 도전 III. 인터넷 서비스 제공자 책임의 한계와 관련된 사례 및 시사점 1. 저작권 침해와 인터넷 서비스 제공자 책임 한계와 관련된 사례 2. 사례의 시사점 IV. P2P 방식의 컨텐
  • 페이지 21페이지
  • 가격 3,000원
  • 발행일 2005.10.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Digital Divide)의 현황과 대응방안’ 건국대, 2002. <목 차> Ⅰ. 연구의 배경과 목적 1. 디지털 정보격차의 개념 2. 디지털 정보격차의 연구의 중요성 3. 연구의 목적과 방법 Ⅱ. 정보격차의 이론 1. 정보격차가 축소될 것이라는 보는 확산
  • 페이지 32페이지
  • 가격 3,800원
  • 발행일 2005.10.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 미디어 체제로 전환은 지금 같은 경제 위기를 극복하는데 일조하고 세계적으로 디지털 선도국가로 도약할 수 있다”며 “정치권이 미디어를 이데올로기적 도구로 인식하고 미디어 이해당사자 간 갈등만 양산한다면 한국의 미래는 암
  • 페이지 14페이지
  • 가격 10,000원
  • 발행일 2008.03.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
제 1 절 분석의 의의 및 방법 제 2 절 비전 제 3 절 사업영역 제 4 절 비즈니스 모델 제 5 절 경영시스템 V. 결 론 제 1 절 전자부품기업의 e-Transformation 강화 전략 제 2 절 연구의 한계점 및 향후 연구과제 <참고문헌>
  • 페이지 23페이지
  • 가격 3,800원
  • 발행일 2005.10.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 지적 재산권의 중요성이 부각되고 있는 만큼 ‘사적 복제 보상금’으로 인한 저작권 보호가 더 이익이 될 수 있다고 생각한다. 수년간 MP3 공유에 관하여 다양한 방법으로 논쟁이 계속되어 왔지만 혼란이 끊이지 않는 것은 우리 사회의
  • 페이지 33페이지
  • 가격 3,000원
  • 발행일 2009.12.01
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 지적 재산권의 중요성이 부각되고 있는 만큼 ‘사적 복제 보상금’으로 인한 저작권 보호가 더 이익이 될 수 있다고 생각한다. 수년간 MP3 공유에 관하여 다양한 방법으로 논쟁이 계속되어 왔지만 혼란이 끊이지 않는 것은 우리 사회의
  • 페이지 33페이지
  • 가격 3,000원
  • 발행일 2009.12.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
방법 3. 연구의 흐름 Ⅱ. 연구의 이론적 배경 1. 지급결제시스템에 대한 이해 2. 금융안정과 지급결제시스템 Ⅲ. 디지털금융의 발전과 지급결제부문의 변화 1. 소매지급결제서비스 시장 2. 도매결제시스템의 발전 Ⅳ. 지급
  • 페이지 31페이지
  • 가격 4,000원
  • 발행일 2005.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1)Digital Copyright -디지털저작권이란 정보통신과 관련된 지적재산을 통칭하는 개념 서론 1.1연구배경 및 목적················6 1.2.연구방법··············8 II본론 2.1저작권의 의의····················9 2.2디지
  • 페이지 8페이지
  • 가격 3,000원
  • 발행일 2010.01.26
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
이전 1 2 3 4 5 6 7 8 9 다음
top