• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 561건

앞에서 수시로 채널을 체크하는 것이 필요하다. pilot을 이용한 시스템이나 dara rate을 손해 보면서 estimation을 위한 신호를 보내어 확인 하는 방법이 있다. 1.소개 2.채널측정 3.시스템디자인 4.시뮬레이션 및 결과분석 5.결론
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2005.12.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
simulation을 하게 된다. WWT2는 ‘Wisconsin Wind Tunnel II’의 약자로서, discrete-event, direct-execution simulator이다. 어떠한 platform에서라도 이상적인 결과를 출력하는 simulator이다. 또한 여러 가지 memory consistency를 지원하며, computer architecture의 여러 가지 변
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2009.03.16
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
다이어그램 ③ 리모컨부 회로도 ④ 마이크로 마우스 본체 개요 ⑤ 본체 블록 다이어그램 ⑥ 본체 회로도 ⑦ StepMotor 동작부 개요 2) StepMotor 설명 3) RF통신 설명 4) Source Code 5) Simulation *결론 1) 제작물 사진 2) 고찰
  • 페이지 24페이지
  • 가격 3,000원
  • 등록일 2007.12.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Simulation하여 보면 아래와 같다. (Source Code 및 Program 실행 結果) - 제 1-1 쪽 - 제어계측공학과, 9384035, 제 1조, 김두호(金斗豪) 자동제어실험 I 실험 #3 DC Motor and Its Characteristics - 追加事項 - 위에서 구한 값을 이용하여 계속 Damping Coefficient값 B를 구
  • 페이지 7페이지
  • 가격 1,000원
  • 등록일 2004.02.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
method of signal light 2. Analysis and plan of Source Code 2.1 Compositions of Source Code 2.2 Principal part analysis of Source Code 2.3 Result and interpretation of Simulation 3. Investigation of project 3.1 In about project progress and result discussion and investigation 3.2
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2011.06.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 6건

OFDM Modulation/Demodulation, Channel Coding/Decoding 등이 포함된 시뮬레이션용 C++언어 소스코드입니다. Visual Studio 6.0 기반으로 만들었습니다. 
  • 페이지 41페이지
  • 가격 8,000원
  • 발행일 2009.06.08
  • 파일종류 압축파일
  • 발행기관
  • 저자
simulation. Next, I organized IEEE802.11a wireless LAN test bed to demonstrate the performance of the system. It follows that we see the performance difference of between simulation result and actual result obtained through TMS320C6701 board. 1. 서론 2. OFDM 시스템 - OFDM 전송 방식의 개요
  • 페이지 65페이지
  • 가격 12,000원
  • 발행일 2009.07.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
수 있다. SMAC (Source code and Sample Applications): 무료 IEEE 802.15.4 PHY/MAC (Object code) Z-Stack (ZigBee Protocol Stack and applications in object code) o ZigBee Stack and Z-Tools per seat license per processor F8- ZSTACK-STD $999 USD Updated Test Tools (802.15.4 Utilities) Embedded Boot loade
  • 페이지 18페이지
  • 가격 3,500원
  • 발행일 2011.12.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-5>배터리 SOC에 대한 C프로그램 시뮬레이션과 Matlab/Simulink 시뮬레이션 결과 <그림Ⅲ-6> FCHEV 시뮬레이터의 기준속도와 실제속도 실험 결과 <그림Ⅲ-7> FCHEV 시뮬레이터에서 배터리 SOC 실험
  • 페이지 30페이지
  • 가격 5,500원
  • 발행일 2008.11.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
as a plug-in type. 단일 전류센서를 갖는 단상 반브릿지 능동전력필터 1. 서론 2. 능동전력필터의 원리 3. 단일 전류센서 능동전력필터 4. 시뮬레이션 모형과 결과 5. 프로토타입 제작 및 실험 6. 결과 참고문헌 Abstract
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 3건

source code 분석을 위한 기술을 완벽히 이해하여 성능 향상을 위한 기초 원리를 탄탄히 다지겠습니다. 소니코리아와 해당 직무에 지원한 동기는 무엇인가요? ① 소니코리아이어야만 하는 이유, ② 지원 직무에 관심을 갖게 된 계기, ③ 입사
  • 가격 3,000원
  • 등록일 2023.03.06
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
Simulation Tool 활용 ③회로 결함의 진단/분석 능력, 위 3가지 역량이 필요하다고 생각합니다. 첫째, 회로설계 프로젝트 경험입니다. Verilog를 활용하여 RTL coding을 분석하고 시뮬레이션, 테스트를 진행하였습니다. 또한, 시퀀스 로직을 구현하여
  • 가격 3,000원
  • 등록일 2023.03.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
계산이 먼저 수행이 되어야 하는데, 인위적으로 예측한 초깃값 행렬 연산 시 발산하는 문제가 있었습니다. 이를 해결하기 위해 관련 이론을 찾아보며 Source Stepping Method가 적합하다는 생각이 들었고, 발산 문제를 해결할 수 있었습니다. 
  • 가격 3,000원
  • 등록일 2023.02.21
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top