• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1건

y IS PORT( Clock:INSTD_LOGIC; w :INSTD_LOGIC; Resetn:INSTD_LOGIC; z : OUTSTD_LOGIC); END mealy; ARCHITECTURE Behavior OF mealy IS TYPE State_type IS(A,B,C,D); SIGNAL y: State_type; BEGIN PROCESS(Resetn, Clock) BEGIN IF Resetn = '0' THEN y <= A; ELSIF(Clock'EVENT AND Clock ='1') THEN CASE y IS WHE
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top