본문내용
<= '1';
ALB <= '0';
else
EQ <= '0';
AGB<= '0';
ALB <= '1';
end if;
end process;
end behave_bit_4;
4Bit Test Bench
Library IEEE;
use IEEE.std_logic_1164.all;
entity tb_bit_4 is
end tb_bit_4;
architecture tb_behave of tb_bit_4 is
signal A, B : std_logic_vector(3 downto 0);
signal EQ, AGB, ALB : std_logic;
component bit_4
port(
A, B : in std_logic_vector(3 downto 0);
EQ, AGB, ALB : out std_logic
);
end component;
begin
u0: bit_4
port map(A, B, EQ, AGB, ALB);
A <= "0000", "1111" after 300 ns, "0000" after 500 ns;
B <= "0000", "0000" after 300 ns, "1111" after 500 ns;
end tb_behave;
4Bit 파형
ALB <= '0';
else
EQ <= '0';
AGB<= '0';
ALB <= '1';
end if;
end process;
end behave_bit_4;
4Bit Test Bench
Library IEEE;
use IEEE.std_logic_1164.all;
entity tb_bit_4 is
end tb_bit_4;
architecture tb_behave of tb_bit_4 is
signal A, B : std_logic_vector(3 downto 0);
signal EQ, AGB, ALB : std_logic;
component bit_4
port(
A, B : in std_logic_vector(3 downto 0);
EQ, AGB, ALB : out std_logic
);
end component;
begin
u0: bit_4
port map(A, B, EQ, AGB, ALB);
A <= "0000", "1111" after 300 ns, "0000" after 500 ns;
B <= "0000", "0000" after 300 ns, "1111" after 500 ns;
end tb_behave;
4Bit 파형
추천자료
- 인간공학적 의자설계
- 창의적 공학 설계 최종보고서 발명품 : 오뚝이 칫솔
- 창의적 공학 설계
- 교육공학 수업설계
- 창의적 공학 설계 [종이다리만들기]
- 디지털 공학 실험[순차회로(검출기)설계]
- 창의적 공학 설계 - 리모트 컨트롤 최종발표 PPT파일
- 자판기(디지털공학 설계 최종발표)
- [도로공학 설계] 도로의 연성 포장설계
- [기계공학] 공학 설계 - 구조용 로봇의 원리와 이론 (A Study on rescue crawler )
- 기초공학 설계 - 초고층 건물 조사
- 창의적 공학 설계 아이템제안. ppt
- [건축 공학 설계] 건축물 설계 사례조사 - 니콘 프레시젼 코리아 사옥, 소피아 타워 빌딩, 라...
- [설계보고서] 04 AD_DA 컨버터 응용 전기회로 설계 (예비레포트) : A/D 변환기와 D/A 변환기...