비교기설계
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

본문내용

<= '1';
ALB <= '0';
else
EQ <= '0';
AGB<= '0';
ALB <= '1';
end if;
end process;
end behave_bit_4;
4Bit Test Bench
Library IEEE;
use IEEE.std_logic_1164.all;
entity tb_bit_4 is
end tb_bit_4;
architecture tb_behave of tb_bit_4 is
signal A, B : std_logic_vector(3 downto 0);
signal EQ, AGB, ALB : std_logic;
component bit_4
port(
A, B : in std_logic_vector(3 downto 0);
EQ, AGB, ALB : out std_logic
);
end component;
begin
u0: bit_4
port map(A, B, EQ, AGB, ALB);
A <= "0000", "1111" after 300 ns, "0000" after 500 ns;
B <= "0000", "0000" after 300 ns, "1111" after 500 ns;
end tb_behave;
4Bit 파형

키워드

  • 가격2,300
  • 페이지수4페이지
  • 등록일2012.11.05
  • 저작시기2009.4
  • 파일형식한글(hwp)
  • 자료번호#774342
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니