창의 공학 설계 결과 보고서 (TTL IC를 이용한 스탑 워치 연구 배경)
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

창의 공학 설계 결과 보고서 (TTL IC를 이용한 스탑 워치 연구 배경)에 대한 보고서 자료입니다.

목차

1. 연구 배경
2. 연구 목표
3. 연구 내용
4. 기대 효과
5. 연구 결과

본문내용

0과 1의 2진부호를 조합해서 나타낼 수가 있으며, 논리대수를 기초로 한 기본 논리회로의 조합 등에 의해서 설계자가 바라는 동작을 한다.) 활용 범위( PCM_펄스 코드 모듈레이션: 펄스부호변조 등의 통신분야, 공작기계수치제어 등의 제어분야, 각종 계산기나 예약장치 등의 정보처리분야, 디지털 주파계 등 계측 분야에서 활용되고 있다)등을 알게 된 점이다. 이런 점들을 알게 되어 좀 더 디지털 IC에 가깝게 다가갈 수 있게 되었다는 점이다
5 연구 결과
연구 결과
우선 결과는 이 제품의 동작을 보시면 될 것입니다.
저희 조는 몇 번의 실패와 몇 번의 반복 실험 회로수정으로 인해 지금 이 작품을 설계했습니다. 제품은 스톱워치이며 우리가 일상생활에서 볼 수 있는 것입니다.
처음 저희 조에서 만들려고 했었던 것은 PIC를 이용한 디지털 시계였습니다. 하지만 어셈블리어에서 진전이 없어 조원 모두가 어셈블리어에(프로그램)에 매달려 한달 내내 공부를 했었습니다. 그리고 성과는 있었지만 동작과 프로그램의 오류로 더 이상 지체 할수 없어서 어셈블리어를 이용한 PIC 작품은 일단 막을 내렸습니다. 그런 도중에 저희 조는 팀을 두팀으로 나누어 한팀은 어셈 한팀은 TTL IC를 이용한 스톱워치를 설계하고 있었습니다. 스톱워치를 만들기 위해 우선 간단하게 발진 부분과 카운터 부분 디스플레이부분으로 나누어서 조립을 하여 결과를 보였었습니다. 하지만 스위치의 체터링으로 인해 동작의 오류와 9V 건전지의 전류의 딸림으로 더욱더 어려움으로 빠져 가고 있었습니다. 하지만 몇 번의 토의와 회의로 회로를 수정하게 되었습니다. 처음보다 더 정확하고 체터링을 없앴으며 작품다운 작품을 만들 수 있게 되었습니다.
(동작원리)
처음 시작은 전원부부터 시작한다. 전원부에 DC9V(건전지)를 입력을 넣는다.
LM7805라는 레귤레이터 IC 동과하면 DC9V의 전원이 DC5V로 출력이 나오게 된다.
이 회로의 동작 전압은 5V 이다.
전원를 받아 NE555라는 발진부분의 IC가 동작하여 74ls90의 분주를 통과한 다음 카운터
IC로 들어오게 된다. 여기서 클럭 펄스를 받아 카운터를 하게 되고 74ls47을 거처 7segment가 동작을 하게 된다. FND 1이 9까지 카운터 한 다음 FND 2가 0에서 1로 바뀌며 FND 2가 5까지 카운터 된 다음 6으로 될 때 분을 가르키는 FND 3가 0에서 1로 바뀌게 된다. 이 동작이 계속 반복하게 된다.
  • 가격1,600
  • 페이지수6페이지
  • 등록일2013.06.11
  • 저작시기2013.6
  • 파일형식한글(hwp)
  • 자료번호#851871
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니