• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 274건

개의 출력선 중의 하나에 분배하므로 데이터 분배기(data distributer)라고도 한다. Select 출 력 A B D0 D1 D2 D3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 1). 디코더(DECODER) 2). 인코더(ENCODER) 3). 멀티플렉서(MULTIPLEXER) 4). 디멀티플렉서(DEMULTIPLEXER)
  • 페이지 6페이지
  • 가격 500원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
를 참조하여 그림과 같은 디코더 회로를 구성한다. 입력의 상태를 표와 같이 변화시키면서 출력 상태를 기록하여라. 여기서 인에이블 단자인 4번, 5번 핀은 접지하고, 6번 핀은 +5V의 전압을 인가한다. C B A Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 0 0 0 0 0 0 0 0 0 0 1 0 0
  • 페이지 5페이지
  • 가격 1,300원
  • 등록일 2013.12.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
REPORT <2x4 디코더, 4x2 인코더> 1. 2x4 디코더(복호기) entity decoder2x4 is port(A : in std_logic_vector(1 downto 0); (Y : out std_logic_vector(3 downto 0)); end decoder2x4; architecture Behavioral of decoder2x4 is begin process(A) begin case A is when "00" => Y <= "0001"; when "01" =>
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2011.06.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험 1.1] VHDL 코드로 50ns 간격으로 wave 형태를 다시 설계하여 3x8 디코더의 출력을 구하라. 입력은 3개의 A이고 출력은 D7부터 D0까지이다. 출력결과를 보면 진리표와 동일하게 입력이 1씩 증가함에 따라 1이 출력되는 자릿수가 한 개씩 올라가고
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2011.11.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디코더 디코더(decoder : 복호기)는 원래 암호를 해독하는 의미를 지니고 있다. 인코더와는 반대로 2진수를 원래의 상태로 변화시켜 주는 회로로 n개의 입력선으로부터의 2진식 정보를 최대 2n개의 독립적인 서로 다른 출력선으로 변환하는 조합
  • 페이지 8페이지
  • 가격 3,300원
  • 등록일 2012.12.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

decoder <표4-2. Verilog decoder> module hamm_dec(in,out,reset); input[11:0] in; output[7:0] out; input reset; reg[7:0] out; reg r1, r2, r4, r8; reg [3:0] r; reg[11:0] IN; integer i, j; always@(in or reset) begin if(reset) out=0; else begin // Parity-bit를 비교하여 오류가 발생한 자리를 구함 r1=
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
decoder 초기화 루틴 영상데이터를 받아왔을때 수행해야 하므로 socket 클래스에서 초기화 시켰고 그러기 위해 소켓 생성자인 CConfSocket::CConfSocket()에 구현하였다. InitH263Decoder(); 9) H.263의 decode루틴 패킷(영상데이터)를 받아왔을대 호출되는 함
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
송되면 이를 처리하기 위한 블록이 필요하게 되며 신호 파형은 그림 12와 같다. 직렬데이터는 병렬데이터와는 달리 이를 위한 카운터가 필요하다. WR(active 'L')신호가 인가되면 DATA_Decoder에 의해 직렬데이터를 처리하게 된다. 데이터가 전송되면
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 2건

담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
디코더를 구현해 보기 위해 몇 날 며칠을 컴퓨터와 같이 동고동락을 한 적도 있습니다. 1. 성장과정 / 성격의 장?단점 - [지구가 폭발하여도 살아 남는 아이] / [시작과 끝을 반드시 만들어가는 강한 추진력] 2. 자신의 일생 중 가장 큰 영향
  • 가격 1,300원
  • 등록일 2007.06.01
  • 파일종류 워드(doc)
  • 직종구분 전문직
top