|
개의 출력선 중의 하나에 분배하므로 데이터 분배기(data distributer)라고도 한다.
Select
출 력
A
B
D0
D1
D2
D3
0
0
1
0
0
0
0
1
0
1
0
0
1
0
0
0
1
0
1
1
0
0
0
1 1). 디코더(DECODER)
2). 인코더(ENCODER)
3). 멀티플렉서(MULTIPLEXER)
4). 디멀티플렉서(DEMULTIPLEXER)
|
- 페이지 6페이지
- 가격 500원
- 등록일 2006.04.25
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
를 참조하여 그림과 같은 디코더 회로를 구성한다. 입력의 상태를 표와 같이 변화시키면서 출력 상태를 기록하여라. 여기서 인에이블 단자인 4번, 5번 핀은 접지하고, 6번 핀은 +5V의 전압을 인가한다.
C
B
A
Y7
Y6
Y5
Y4
Y3
Y2
Y1
Y0
0
0
0
0
0
0
0
0
0
0
1
0
0
|
- 페이지 5페이지
- 가격 1,300원
- 등록일 2013.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
REPORT
<2x4 디코더, 4x2 인코더>
1. 2x4 디코더(복호기)
entity decoder2x4 is
port(A : in std_logic_vector(1 downto 0);
(Y : out std_logic_vector(3 downto 0));
end decoder2x4;
architecture Behavioral of decoder2x4 is
begin
process(A)
begin
case A is
when "00" => Y <= "0001";
when "01" =>
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
실험 1.1] VHDL 코드로 50ns 간격으로 wave 형태를 다시 설계하여 3x8 디코더의 출력을 구하라.
입력은 3개의 A이고 출력은 D7부터 D0까지이다. 출력결과를 보면 진리표와 동일하게 입력이 1씩 증가함에 따라 1이 출력되는 자릿수가 한 개씩 올라가고
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2011.11.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디코더
디코더(decoder : 복호기)는 원래 암호를 해독하는 의미를 지니고 있다. 인코더와는 반대로 2진수를 원래의 상태로 변화시켜 주는 회로로 n개의 입력선으로부터의 2진식 정보를 최대 2n개의 독립적인 서로 다른 출력선으로 변환하는 조합
|
- 페이지 8페이지
- 가격 3,300원
- 등록일 2012.12.12
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|