• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 98건

공용 ALU를 가진 레지스터집합 시뮬레이션 컴퓨터 구조론 ALU(arithmetic-logic unit)란? 중앙처리장치의 일부로서 산술-논리 장치라는 이름 그대로 컴퓨터 명령어 내에 있는 연산자들에 대해 연산과 논리동작을 담당한다. ALU의 구조 >n비트 ALU는 n
  • 페이지 18페이지
  • 가격 8,400원
  • 등록일 2015.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
output은 5bit alu_op이다. inst가 위의 표에서 나타낸 opcode이다. assign문 이전에 선언된 5bit의 각 wire는 ALU 각 연산의 op이다. 참고 자료에서 예시로 나와 있던 모듈에서는 `define 문을 써서 이 값들을 정의했으나, 여기서는 assign문을 통해 그 값들을 지
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU(Arithmetic and Logical Unit)에 관한 것이다. 컴퓨터 내부에서 어떤 결과를 얻기 위하여 데이터를 변환시키는 것을 연산이라 한다. 그러므로 산술 연산과 논리 연산을 모두 수행하여야 하고, 데이터를 처리하는 핵심적인 역할을 하여야 한다. 연
  • 페이지 30페이지
  • 가격 3,000원
  • 등록일 2009.05.10
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity TB_ALU is end TB_ALU; architecture TB of TB_ALU is component ALUport( sel : in std_logic_vector(4 downto 0); A, B : in std_logic_vector(7 downto 0); C_in : in std_logic; Y : out std_logic_vector(7 downto 0)); end component; signal sel
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
H L H L L H L H H H L H L L L H H L H H L H H L H L H H H H H 위의 진리표를 보고 카노맵을 그린 후 C와 S의 식을 도출할 수 있다. 라. ALU의 기능에 대해 서술하라. -ALU는 컴퓨터의 CPU를 구성하는 부분의 하나로 컴퓨터 명령어 내에 있는 연산자들에 대해 덧
  • 페이지 7페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top