• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 10건

환하는 프로그램 : main.c의 구성 /****************************************/ /* 파일이름:main.c /* 작 성 자 : 2-a반 FND실험조 /* 작 성 일 : 00년 11월 22일 /* 설 명 : FND제어 실험 /****************************************************************/ #inclued "basic.h" #inclued "io.h" /***
  • 페이지 11페이지
  • 가격 2,300원
  • 등록일 2004.11.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
포인터 초기화 ExtTmr0Int dec DelayReg ;지연시간 레지스터 업데이트 pop PSW ;PSW 복원 pop ACC ;어큐뮬레이터 복원 reti ;메인 프로그램으로 복귀 - key scan 프로그램 - 리셋기능 - fnd제어 - led제어 - 시계카운터 - 모드변경키
  • 페이지 3페이지
  • 가격 1,000원
  • 등록일 2005.05.25
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FND0, *FND1, *FND2, *FND3, *FND4, *FND5, *FND6, *FND7; // mmap() 을 위해 필요한 FND 전용 포인터 int fd, fd0=0; FND_예비 레포트 2.hwp……………………………………6p 마이크로 프로세서 응용회로설계실습 -예비레포트- 3. FND 제어용 Device Driver의 설계
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2015.07.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FND 어레이를 사용할 때 주의해야 할 점은 계속해서 반복적으로 같은 위치에 같은 숫자를 써 줘야 한다는 것이다. 그렇지 않는다면 숫자가 표시되지 않거나 한 개만 on 된 것처럼 보일 것이다. 이렇게 착시현상을 이용하는 제어방식을 FND의 다
  • 페이지 3페이지
  • 가격 1,000원
  • 등록일 2007.05.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
nt seg -- 사용 할 소회로 선언 port ( clk_4M : in std_logic; rstb : in std_logic; seg : out std_logic_vector (6 downto 0); digit : buffer std_logic_vector (5 downto 0) ); end component; signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화 signal CLK_4M : std_logic:=\'0\'; signal DIGIT
  • 페이지 5페이지
  • 가격 1,500원
  • 등록일 2019.06.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top