• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 424건

이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
목적 VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다. 필요성 주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2006.06.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Unit) Ⅳ. CPU(중앙처리장치)의 종류 1. 처리 용량에 따른 구분 1) 8비트 2) 16비트 3) 32비트 4) 64비트 2. 처리 방식에 따른 구분 1) CISC(Complex Instruction Set Computer) 2) RISC(Reduced Instruction Set Computer) 3) SIMD(Single Instruction Multiple Data) 4) VLIW(Ver
  • 페이지 15페이지
  • 가격 6,500원
  • 등록일 2009.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
then REG <= LDDATA; elsif ENABLE=’1’ then if (CLK=’0’ and CLK’event) then REG <= REGIN; end if; end if; end process; end RTL2; 1.순서(순차) 논리 회로의 개념 2.여러 순서 논리 회로의 VHDL 표현 3.Homwork
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2007.01.08
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
bit가 사용되고 있습니다. 강의 자료에 나와 있는 ALU의 Block diagram과 비교해 보았을 때, 정상적인 합성이 이루어졌다는 사실을 확인 할 수 있습니다. 6. Conclusion - 이번 과제는 VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

8, 225 (1998) [7] Liu Limin, Zoran Salcic, Li Dong, "FPGA Hardware Devices with Single -Instruction Driving For An Embeded Mobil Computing Platform." Proceedings. 4th International Conference on ASIC, 2001 Page(s): 514 -517 [8] Yong-Sun Na, Oh-Kyong Kwon, "A single chip driver system for 1.2inch Org
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 설계 80 Verilog-HDL을 이용한 설계 20 Simulink를 이용한 시뮬레이션 20 Simulink를 이용한 시뮬레이션 80 <표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
8 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배열 PT2399 블
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
8비트) 그림 3-5. IP 주소의 종류 그림 3-6. 2비트를 서브네팅 주소로 사용한 경우의 subnet mask 표 1-1. 클래스 C IP 주소에서 2비트 또는 3비트를 사용하여 서브네팅 하였을 때의 결과 비교 그림 3-7. IP 패킷 구조 그림 3-8. ARP의 동작 순서 그림 3-
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
board. 1. 서론 2. OFDM 시스템 - OFDM 전송 방식의 개요 - OFDM 원리 - OFDM의 특징 3. IEEE802.11a Wireless LAN의 모뎀 설계 - 주요 파라미터 - 송신단 - 수신단 . TMS320C6701 DSP Processor 5. 모의실험 6. 시스템 구성 및 구현 결과 7. 결론
  • 페이지 65페이지
  • 가격 12,000원
  • 발행일 2009.07.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

Application Processor 분야의 벤처기업에서 8주간의 현장 실습을 통해 실무경험을 쌓았습니다. FA팀에서 현장교육을 받으면서 MPEG 표준을 이용한 동화상 처리 기술과 이미지 센서의 기본적인 특성과 설계 방법에 대해 배울 수 있었습니다. 또한 JPEG
  • 가격 3,000원
  • 등록일 2007.06.29
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top