VHDL을 이용한 vending machine
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
해당 자료는 9페이지 까지만 미리보기를 제공합니다.
9페이지 이후부터 다운로드 후 확인할 수 있습니다.

본문내용

개요
이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다.
구성요소
ARTERA Quartus II 8.0
EPF10K10QC208-4
입력버튼 ->키패드 (동전 버튼 2개) (아이템 선택버튼 4개) (반환버튼 1개)(리셋버튼1개)
LED ->선택 아이템 &잔액 부족 표시
7-segment ->금액 표시 & 잔액표시
LCD-> 목록&가격&수량
동작원리 2
LCD에는 각 아이템의 목록과 가격, 수량이 표시된다.->수량은 9개로 셋팅
동전 입력
동전(100or500)을 선택한다->잔액은 7-segment에 바로 표시된다
만약 잔액이 9900원이 넘으면 동전은 더 이상 들어가지 않는다.->LED점등
잔액이 9900원이 안넘으면 잔액은 동전의 가격만큼 증가한다
->가격에맞춰 선택할 수 있는 아이템을 LED로 표시한다.
선택 아이템
아이템의 가격이 잔액보다 클 경우 ->잔액은 그대로, 수량도 그대로 (수량은 LCD로표기)
아이템의 가격이 잔액보다 작을 경우-> 잔액에서 아이템가격을 뺌, 수량도 감소
->품절일 경우 -> 잔액은 그대로

해당자료는 한글2002나 워디안 자료로 한글97에서는 확인하실 수 없으십니다.

키워드

  • 가격3,000
  • 페이지수26페이지
  • 등록일2009.01.22
  • 저작시기2008.10
  • 파일형식압축파일(zip)
  • 자료번호#515668
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니