자판기 vending.vhd
본 자료는 미만의 자료로 미리보기를 제공하지 않습니다.
닫기
  • 1
해당 자료는 0페이지 까지만 미리보기를 제공합니다.
0페이지 이후부터 다운로드 후 확인할 수 있습니다.

목차

77.8KB

본문내용

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity vending is
port( clk, reset : in std_logic;
coin_10, coin_50, coin_100, coin_500 : in std_logic;
button_coffee, button_tea, button_orange, button_coke : in std_logic;

data : out std_logic_vector(13 downto 0);
scan : out std_logic_vector(9 downto 0);

money_dec : out std_logic_vector(6 downto 0); --세그먼트
sel_decode : out std_logic_vector(7 downto 0);

LED_coffee, LED_orange, LED_tea, LED_coke : out std_logic;

give_coffee, give_orange, give_tea, give_coke : out std_logic;
in_data : in integer range 0 to 999;

o_data : out std_logic_vector(15 downto 0);
-- o_data_100 : out std_logic_vector(3 downto 0);
-- o_data_10 : out std_logic_vector(3 downto 0);
-- o_data_1 : out std_logic_vector(3 downto 0);

o_cmplt : out std_logic;

lcd_e : out std_logic;
lcd_rs : out std_logic;
lcd_rw : out std_logic;
lcd_data : out std_logic_vector(7 downto 0);
piezo : out std_logic);
-- rs : out std_logic;
-- data : out std_logic_vector(7 downto 0);


end vending;

architecture sample of vending is

키워드

  • 가격3,000
  • 페이지수1페이지
  • 등록일2011.08.29
  • 저작시기2009.4
  • 파일형식기타(vhd)
  • 자료번호#698600
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니