|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity vending is
port( clk, reset : in std_logic;
coin_10, coin_50, coin_100, coin_500 : in std_logic;
button_coffee, button_tea, button_orange, button_cok
|
- 페이지 1페이지
- 가격 3,000원
- 등록일 2011.08.29
- 파일종류 기타
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Vending_Machine();
reg t_Clock, t_Cancel,t_Selectbit;
reg [1:0]t_In;
wire t_Change, t_Control;
wire [1:0]t_Out;
Vending_Machine M0 (t_Clock, t_Cancel,t_Selectbit,t_In, t_Change, t_Control, t_Out);
initial
begin
$shm_open(\"TestBanch_Vending_Machine.db\");
$shm_probe(\"AS\");
#100 $finish;
end
initi
|
- 페이지 26페이지
- 가격 3,300원
- 등록일 2013.03.02
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
보고서.hwp
<Vending Machine>
▣ 전체 회로도 및 블록도
≪ 그 림 ≫
전체 블록도
≪ 그 림 ≫
전체 블록도
1. 파워 인가시 세그먼트 1∼4까지 메뉴 5가지를 순차적으로 표시한다.
2. 돈을 입력한다. 3000원 이상시 최대 30
|
- 페이지 29페이지
- 가격 50,000원
- 등록일 2013.11.06
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
개요
이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다.
구성요소
ARTERA Quartus II 8.0
EPF10K10QC208-4
입
|
- 페이지 26페이지
- 가격 3,000원
- 등록일 2009.01.22
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VENDING(ubiquitous vending machine)
유벤딩 소개
- 유벤딩이란 ‘ubiquitous vending machine’의 약칭.
- 기존 자판기에 벤딩솔루션·터치스크린·네트워크 기능을 더한 최첨단 자판기
장점
- 기존 자판기가 단순한 음료 판매기능만 수행했다면, 유벤딩은
|
- 페이지 7페이지
- 가격 1,500원
- 등록일 2011.03.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|