• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 47건

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vending is port( clk, reset : in std_logic; coin_10, coin_50, coin_100, coin_500 : in std_logic; button_coffee, button_tea, button_orange, button_cok
  • 페이지 1페이지
  • 가격 3,000원
  • 등록일 2011.08.29
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL내에서의 문법의 정확한 이해가 부족하여 문법에 관한 문제가 발생하였습니다. if문내에서 else내에서 state의 상태를 지시했을 때 if의 조건이 아닐시 else 의 상태로 가는 것을 고려하지 못해 발생한 문제가 있었으며 해결하였습니다. 덧붙여
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
machines/ www.eecs.umich.edu/~mazum/eecs270/lab/lab6.pdf http://accad.osu.edu/~pgerstma/class/vnv/examples/fsm/cokeMachine.pdf 1 연구배경 1.1 자판기 사전적 의미.............................................3 1.2 자판기 종류별 구조적 설명.......................................4 2 설계
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
필수 조건 - 돈을 투입 후, 잔액에 대해서도 반복적으로 구입이 가능. - 금액이 모자랄 경우, “000원 금액이 모자랍니다.” 라고 출력 후 주제 필수조건 이론설명 고찰 C CODE FILE
  • 페이지 1페이지
  • 가격 2,000원
  • 등록일 2010.04.16
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

취업자료 1건

기계공학을 전공하면서, 또한 직장생활을 하면서 컴퓨터에 관한 공부를 나름대로 열심히 하여 물론 더 많은 노력과 발전이 필요하지만 데이터통신, 컴퓨터아키텍쳐, VLSI, 시스템프로그래밍, VHDL에 관하여 어느 정도의 기본지식을 습득하고 있
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top