본문내용
Library IEEE;
use IEEE.std_logic_1164.all;
entity tb_reg_8 is
end tb_reg_8;
architecture tb_behave of tb_reg_8 is
signal D : std_logic_vector(7 downto 0);
signal CLK : std_logic :='1';
signal RST : std_logic;
signal Q : std_logic_vector(7 downto 0);
component reg_8
port(
D : in std_logic_vector(7 downto 0);
CLK : in std_logic;
RST : in std_logic;
Q : out std_logic_vector(7 downto 0)
);
end component;
begin
u0 : reg_8
port map(D, CLK, RST, Q);
D <= "00000000",
"00000001" after 200 ns,
"00000010" after 400 ns,
"00000011" after 600 ns;
CLK <= not CLK after 100 ns;
RST <= '1', '0' after 500 ns;
end tb_behave;
파형
《D-flip flops》
- CLK'event의 의미를 알지 못했음.
- test bench 구현 때 초기 값을 주고 매시간 클락 신호를 주는 코딩이 다소 어려웠음.
《8bit register》
- 프로세스문에서 '리셋'과 '클락 이벤트' '클락'을 if문 하나로 연결해서 모든 신호가 한꺼번에 동작 되서 오류 발생.
use IEEE.std_logic_1164.all;
entity tb_reg_8 is
end tb_reg_8;
architecture tb_behave of tb_reg_8 is
signal D : std_logic_vector(7 downto 0);
signal CLK : std_logic :='1';
signal RST : std_logic;
signal Q : std_logic_vector(7 downto 0);
component reg_8
port(
D : in std_logic_vector(7 downto 0);
CLK : in std_logic;
RST : in std_logic;
Q : out std_logic_vector(7 downto 0)
);
end component;
begin
u0 : reg_8
port map(D, CLK, RST, Q);
D <= "00000000",
"00000001" after 200 ns,
"00000010" after 400 ns,
"00000011" after 600 ns;
CLK <= not CLK after 100 ns;
RST <= '1', '0' after 500 ns;
end tb_behave;
파형
《D-flip flops》
- CLK'event의 의미를 알지 못했음.
- test bench 구현 때 초기 값을 주고 매시간 클락 신호를 주는 코딩이 다소 어려웠음.
《8bit register》
- 프로세스문에서 '리셋'과 '클락 이벤트' '클락'을 if문 하나로 연결해서 모든 신호가 한꺼번에 동작 되서 오류 발생.
키워드
추천자료
한국정치의 문제점과 그 대안(유교적 정치사상을 중심으로..)
여성운동과 토지제도
커뮤니케이션과 커뮤니케이션이 사회에 미친 영향
인터넷 정치홍보 효과연구
분단의 원인에 대한 학설들
역관사가의 문학세계
근대 서양 철학자의 이해와 비판
장재철학과 자연사상에 대한 분석 및 고찰
인터넷 정치홍보 효과 연구
독거노인가족
홈뱅킹(전자금융거래)의 특징, 홈뱅킹(전자금융거래)의 발달, 홈뱅킹(전자금융거래)의 소비자...
[몸가짐 예절교육]몸가짐 예절교육(몸가짐 예절지도)의 중요성, 목표, 몸가짐 예절교육(몸가...
[국제마케팅][국제마케팅 결정과정][국제마케팅 정보화][국제마케팅 제휴]국제마케팅 정의, ...
[사업 평가][원자력연구개발사업 평가][테크노파크조성사업 평가]산업안전국사업 평가, 산업...