d 플립플랍 8비트레지스터
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

본문내용

Library IEEE;
use IEEE.std_logic_1164.all;
entity tb_reg_8 is
end tb_reg_8;
architecture tb_behave of tb_reg_8 is
signal D : std_logic_vector(7 downto 0);
signal CLK : std_logic :='1';
signal RST : std_logic;
signal Q : std_logic_vector(7 downto 0);
component reg_8
port(
D : in std_logic_vector(7 downto 0);
CLK : in std_logic;
RST : in std_logic;
Q : out std_logic_vector(7 downto 0)
);
end component;
begin
u0 : reg_8
port map(D, CLK, RST, Q);
D <= "00000000",
"00000001" after 200 ns,
"00000010" after 400 ns,
"00000011" after 600 ns;
CLK <= not CLK after 100 ns;
RST <= '1', '0' after 500 ns;
end tb_behave;
파형
《D-flip flops》
- CLK'event의 의미를 알지 못했음.
- test bench 구현 때 초기 값을 주고 매시간 클락 신호를 주는 코딩이 다소 어려웠음.
《8bit register》
- 프로세스문에서 '리셋'과 '클락 이벤트' '클락'을 if문 하나로 연결해서 모든 신호가 한꺼번에 동작 되서 오류 발생.
  • 가격2,300
  • 페이지수5페이지
  • 등록일2012.11.05
  • 저작시기2009.5
  • 파일형식한글(hwp)
  • 자료번호#774335
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니