• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 619건

ALU를 설계하여 이를 KIT를 통해 구현하였다. 다양한 케이스의 연산이 이미 입력된 입력 값을 이용하여 버튼의 조작으로 여러 연산을 수행시켰다. kit의 버튼에 따라 다양한 입력값이 인가될 수 있도록 하였으며 여러 연산이 버튼에 따라 조작될
  • 페이지 11페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
 1. 개요 2. 디자인 3. 결론 4. 느낀점
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ALU의 기본원리 ........... 2 3. ALU 회로 설계 ........... 2 4. ALU 회로 설명 ........... 4 5. ALU 설계 구현 및 결과확인 ........... 4 6. 향후 응용 계획 및 결론
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2011.06.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 1. 개 요 2. 문 제 (1) 3*
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로를 사용하여 표현하면 보다 정확하게 표현이 가능했습니다. 이 과정에서 순서논리회로의 기억소자의 강점을 알게 되었으며, 이때 기억소자(FF, Latch)를 활용한 Vending Machine 설계를 상태머신을 통해 구현하였습니다. 상태머신 설계에
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
를 얻을 수 있게 된다. 또한, 차세대 통신의 다양한 통신 규격에 적합한 모듈을 구현함으로써 전천후적인 통신시스템을 설계할 수 있게 된다. I. 緖 論 Software Defined Radio (SDR) 기술은 첨단 디지털 신호처리 기술과 고성능 디지털 신호처리 소자
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자
제조기술 11 2.2.2.2 LED 광원(전구) 제조기술 12 2.2.2.3 LED 구동회로 제작기술 16 2.2.2.4 LED 응용분야 21 2.2.3 LED 시장 동향 24 2.2.3.1 국내시장 24 2.2.3.2 해외시장 25 2.2.3.3 기업동향 26 2.3 LED의 발전가능성 29 제 3 장 결 론 31 參考文獻
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기존 전자 매체와의 차이점 3) 공간성과 시간성의 변화 4) 프레임과 시점 개념변화 5) 콜라주 기법의 활용 6) 하이퍼 리얼리티의 구현 7) 시뮬라시옹 8) 전통적 의미의 영화개념 변화 3. 디지털에 대한 이론가들의 견해
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2010.01.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계, 유지관리, 운영을 행하고 이용자 등의 지불에 의해 사업코스트를 회수하며, 서비스구입형은 민간사업자가 설계, 건설, 유지관리, 운영을 하고 서비스 구입주체가 되는 공공부문의 구입비에 의해 사업코스트를 회수한다. 조인트벤처형
  • 페이지 19페이지
  • 가격 2,500원
  • 발행일 2007.09.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 16건

를 설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
삶은 불가능하다.” 2. 본인의 장점과 단점을 구분하여 기술하여 주십시오.(최소 100자 ~ 1,000자 제한) “사랑은 아놀로그를 타고” 3. 해당 직무에 대한 경력사항을 상세히 기술하여 주십시오.(최소 300자 ~ 9,900자 제한) “회로설계 경험”
  • 가격 2,800원
  • 등록일 2013.09.03
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
를 하기 위한 신호 분석. ◎ 설 명 : 회로의 테스트 포인트의 신호를 측정하여 분석. 태양 전지와 충전지의 전압 신호 측정 및 분석. CDs셀의 도통 전압 신호 측정 및 분석. LED에 인가되는 전압 신호 측정 및 분석. 설계 과제물 및 보고서 학년 /
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
구현과 잘못된 프로그램 설치 Clock 설정문제를 알게 되었습니다. 전 최종시연에서 12단계의 자동 커튼 제어를 보여줄 수 있었고, 이 문제해결을 위해 뛰어다녔던 노고도 인정받게 되어, 전 설계 1등을 하고 설계 팀 중 유일하게 A+를 받을 수 있
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
활용 ③회로 결함의 진단/분석 능력, 위 3가지 역량이 필요하다고 생각합니다. 첫째, 회로설계 프로젝트 경험입니다. Verilog를 활용하여 RTL coding을 분석하고 시뮬레이션, 테스트를 진행하였습니다. 또한, 시퀀스 로직을 구현하여 이를 토대로
  • 가격 3,000원
  • 등록일 2023.03.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top