• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,792건

Ring Counter와 잘 맞을거란 생각이 들었다. 논리회로실험 8. 카운터 ⅰ. 7476 J-K 플립플롭을 이용하여 예비 과제에서 구한 4단 2진 count-up 리플 카운터를 구성하고 각 단의 출력 Q에서의 파형을 관찰하라. <7476을 이용해 구성한 4단 2진 리플 카운터
  • 페이지 11페이지
  • 가격 3,000원
  • 등록일 2009.01.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
레지스터를 설계하시오. 실험기자재 및 부품 사용기기 - 오실로스코프 - 디지털 멀티미터 - 함수발생기 - 전원공급기 사용부품 - 저항 - LED - 플립플롭 실험방법 및 순서 다음은 IC74195를 이용하여 링 카운터를 구현하려고 하는 회로인데, 완성
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
레지스터를 설계하시오. 실험기자재 및 부품 사용기기 - 오실로스코프 - 디지털 멀티미터 - 함수발생기 - 전원공급기 사용부품 - 저항 - LED - 플립플롭 실험방법 및 순서 다음은 IC74195를 이용하여 링 카운터를 구현하려고 하는 회로인데, 완성
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험이었다. 5주차 실험과 같이 하나의 Chip에 4 개의 Flip-Flop이 내장되어 있어 4bits Counter를 구성하였던 것처럼 74LS164 역시 4 개의 Flip-Flop을 하나의 Chip에 내장하여 4 Bits Shift Register를 구성한 것이다. 따라서 회로의 구성은 매우 간단하며 결과도
  • 페이지 22페이지
  • 가격 3,000원
  • 등록일 2008.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 순서 (1)디지털 실험기판 위에 비동기식 카운트-업 카운터 회로 (a)를 구성하고 CLR을 0→1로 하여 모든 플립플롭들을 해제(clear)시키고 CLK에 클럭 펄스를 하나 씩 트리거시키면서 Q3~Q0의 논리상태를 측정하여 표 1(a)에 기록한다. (2)비동기식
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2014.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 33건

2.1 구조 및 동작원리 2 2.2 등가회로 분석 3 2.3 실험장치 구성 및 방법 11 2.3.1 실험장치 구성 11 2.3.2 실험 방법 12 2.4 분석 사항 13 제 3 장 실험결과 분석 14 3.1 결과분석 14 제 4 장 결 론 18 4.1 결론 18 參考文獻 19
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3-2. OLED System Block Diagram 3-3. Data Latch, Parallel / Serial Data Conversion 3-4. Instruction Decoder 3-4-1. Instruction Command Set 3-5. Serial Data Interface 및 DDRAM Controller 동작 3-5-1. Serial Data Interface 3-5-2. Memory Controller 4. 결 론 5. 참고
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 <제 목 차 례> Ⅰ.탐구동기 1 Ⅱ.탐구내용 1 Ⅲ.탐구방법 및 결과 2 1. 호스 운동이 일어나는 현장과 호스의 구조 및 종류는 어떠한가? 2 2. 어떠할 때 호스 운동이 잘 일어날까? 3 가. 호스의 재질이 달라지면? 3 나. 호스의 길이가
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2009.03.01
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 최적화 4. 노광시간(Exposure Time)의 영향 및 최적화 5. 실험 결과 및 고찰 Ⅲ. 실리콘 주형의 제작과 분광기의 제작 1. 반응성 이온 식각 공정(RIE;Reactiva Ion Etching Process) 2. UV 몰딩(UV-molding) 공정을 이용한 분광기의 제작 3. 분광기(Spectroscopic
  • 페이지 26페이지
  • 가격 3,000원
  • 발행일 2010.03.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 21건

및 대학원 시절 (1000자 이내) [하나로 뭉쳐라] 2. 하이닉스 및 해당분야 지원동기 (1000자 이내) [항상 새로운 변화를 꿈꾸다] 3. 직무관련경험(프로젝트 등) (1000자 이내) [3일 밤샘의 결과] [과정은 여러 가지, 목표는 하나] 4. 회
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
모두 방치하는 것으로 보인다. 이러한 문제들의 개선노력은 기업, 노동자, 학문적 영역에서 모두 꾸준히 이루어져야 할 것이라고 생각한다. 1. 조사대상 소개 2. 입사과정 3. 조사대상 노동의 직무 개괄 4. 분석틀 5. 결론
  • 가격 2,000원
  • 등록일 2008.01.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
및 근거를, 본인의 노력과 경험을 바탕으로 제시해 주시기 바랍니다.[200자 이상 1000자 이내] 3. 입사 후 현대모비스의 발전을 위해 본인이 어떠한 노력을 할 것인지를 중장기적인 관점에서 기재해 주시기 바랍니다.[200자 이상 700자 이내]
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
결과도 있는 것처럼 실전과 같은 훈련만이 성공적인 면접의 열쇠가 될 것이다. 성장과정 및 가족관계 자소서 샘플모음 가치관 및 생활신조(좌우명) 자소서 샘플모음 학력사항 및 경력사항 자소서 샘플모음 성격과 장단점 및 취미&특기
  • 가격 3,000원
  • 등록일 2011.05.26
  • 파일종류 한글(hwp)
  • 직종구분 기타
찾기 위해 연구했습니다. PCB 판과 DSP 제어보드 설계를 모두 끝마치고 성능시험 및 오류수정을 하게 되었습니다. 실험 진행 시 오차와 리플이 너무 심했고, 오버슈트도 매우 컸습니다. 전체적인 회로를 수정하며 지속적인 Simulation을 돌린 결과
  • 가격 2,000원
  • 등록일 2020.11.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top