• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,809건

, 주의를 더 산만하게 할 수도 있다. 그러므로 이를 활용하되, 너무 의존한 수업전개는 가급적 지양한다. Ⅰ. 교과 개관 -------------------------- 2 Ⅱ. 본시 학습 단원 ---------------------- 3 Ⅲ. 본시 교수(디지털 논리회로) 학습 지도안 --- 5
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2009.05.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로 기초와 응용 실습』, 보성각, 2004. 2. 홍경호, 『논리회로』, 한빛미디어, 2005 3. 권오근 · 권준식 · 김용민, 『디지털공학 및 실험』, 인터비전, 2006. 4. 강안구 · 임석구 · 최지영, 『전자계산기 구조』, 한올출판사, 2007 5. M. Morris Mano,
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2009.08.24
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로』, 진영사, 1999 (2) 김선형·이두성, 『디지털공학』, 청문각, 2010 (3) 이갑섭·배장근, 『디지털 논리회로 이론 및 실습』, 대림, 1998 실험. 논리회로의 간략화 1. 실험 목적 2. 실험 이론 2.1. 부울 대수(Boolean Algebra) 2.2. 기본적
  • 페이지 10페이지
  • 가격 4,200원
  • 등록일 2013.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로 실습 보고서 - 가산기와 감산기 [1] 7486 IC와 7408 IC 핀 배치도를 참조하여 아래 회로를 구성한다. ▌검토▐ ▌시뮬레이션▐ [2] 7486, 7408, 7404 IC 핀 배치도를 참조하여 아래 회로를 구성한다. ▌검토▐ ▌
  • 페이지 7페이지
  • 가격 1,800원
  • 등록일 2013.12.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송 실험
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 7건

 Ⅰ. 서 론 1. 연구의 필요성 및 목적 2. 연구문제 3. 연구의 제한점 Ⅱ. 이론적 배경 1. 교육실습의 개념 2. 교육실습의 내용 3. 유아교육실습에 있어서의 지도교사와 교생의 관계 4. 실습유치원의 임무 Ⅲ. 연구방법
  • 페이지 27페이지
  • 가격 3,000원
  • 발행일 2008.10.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실습”, 아진 출판사 김원식 외, “LCD 제조 공정과 장비”, 내하 출판사 LCD history < http://en.wikipedia.org/wiki/laptop#history> Liquid crystal display <http://en.wikipedia.org/wiki/lcd_monitors> 제 1 장 LCD DISPLAY 1.1 LCD란? 1.2 구동원리 1.3 구조
  • 페이지 9페이지
  • 가격 1,000원
  • 발행일 2009.12.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
논리를 적용할 것인지 아니면 형평성 측면에서 도내 모든 재래시장에 골고루 배분할 것인지에 대한 정책적 판단문제가 중요한 시점이다. 재래시장 활성화와 이러한 문제를 해결하기 위해서는 산뜻한 점포와 아케이드로 하는 것이 아니라 정
  • 페이지 27페이지
  • 가격 3,400원
  • 발행일 2009.08.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 92건

[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
눈높이에서 진실 되며 완전한 사랑을 베풀고, 인간행동을 바람직하게 변화시킬 수 있어야 하며, 전문성 또한 반드시 겸비해야 합니다. 교사가 학생을 변화시키기 위해 노력하지만 완성은 학생 스스로 해야하는 것처럼 특수교사는 이점을 중
  • 가격 1,000원
  • 등록일 2006.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top