|
ntity div1 is
port(
clk: in std_logic;
in_data: in integer range 0 to 999;
o_data: out std_logic_vector(15 downto 0);
--o_data_100: out std_logic_vector(3 downto 0);
--o_data_10: out std_logic_vector(3 downto 0);
--o_data_1: out std_logic_vector(3 downto 0);
o_cmplt: out std_logic
);
end div1;
archi
|
- 페이지 50페이지
- 가격 3,500원
- 등록일 2007.12.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
때
cursor_count++;
if(cursor_count>100) {//준비화면 설정
cursor_count=0;
if(cursor>=64) cursor=cursor;//준비화면 설정
else cursor++;
}
} else cursor = 0;
display();//도트매트릭스 구현 display 함수 호출
}
. 알고리즘
작품개요
플로어차트
작품사진
소스
|
- 페이지 29페이지
- 가격 25,000원
- 등록일 2013.09.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털 신호로 바꾸는 방법 중의 하나. 사운드 카드의 미디음원은 대부분 PCM 방법을 사용한다. 우리가 듣는 소리는 아날로그인데 이것을 1초 동안 샘프링하여 샘플링된 디지털 신호를 특정한 값으로 만들어 칩 속에 저장하는 방식이다. 그렇
|
- 페이지 27페이지
- 가격 3,000원
- 등록일 2004.12.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털시계소스.hwp
;시 분 초와 오전/오후를 저장하는 공간을 할당
SEC EQU 33H
MIN EQU 34H
HOUR EQU 35H
AMPM EQU 25H
;알람의 시 분 초와 오전/오후를 저장하는 공간을 할당
AL_SEC EQU 37H
A
|
- 페이지 36페이지
- 가격 8,000원
- 등록일 2013.11.06
- 파일종류 압축파일
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. Ⅰ. 목 표
Ⅱ. 개발환경
Ⅲ. 제작
1. 부품리스트
2. 회로도
3. 소스코드
4. 실험결과
5. 기능별 원리 및 동작해석
(1) Timer/counter를 이용한 ‘1초’ 카운트
(2) 키
AVR알람시계 와치, 디지털워치 ATmega128, ,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작,
|
- 페이지 30페이지
- 가격 7,000원
- 등록일 2015.12.04
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|