• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,429건

논리 연산을 수행하는 장치 : 비트 단위로 연산 다) 연산 장치 제어 신호 S2, S1, S0, Cin에서 S2가 1일 때 논리 연산 처리가 된다. s1 s0 논리연산 출 력 0 0 OR 연산 0 1 XOR 연산 1 0 AND 연산 1 1 NOT 연산 ■ 실험의 이론적 결과 (1) 예비보고서 (1) 전가산기(
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2012.04.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
예비 보고서 …………………………… 31  4. 실험 기자재 및 부품 …………………………… 33  5. 실험 방법 및 순서 …………………………… 33  6. 실험 결과 …………………………… 34 실험  5. 산술논리연산회로(1) ………………
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험 방법 및 순서 23 6. 실험 결과 24 실험 4. 인코더와 디코터 26 1. 실험 목적 26 2. 기초 이론 26 3. 예비 보고서 31 4. 실험 기자재 및 부품 33 5. 실험 방법 및 순서 33 6. 실험 결과 34 실험 5. 산술논리연산회로(1) 35 실험 6. 산술논
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리이다. ④ XNOR은 XOR의 보수를 구할 수 있다. (∵ 왜냐하면 카르노 맵으로 표현 가능한 모든 논리회로는 NOR이나 NAND 만으로 표현 가능하기 때문이다. ) 부울대수의 정리 예비 보고서 1. 실험 목적 2. 기본 이론 3. 실험 방법 4.
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2015.02.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
: 74LS74 J-K : 74LS73, 74LS76, 74LS78, 74LS109, 74LS114 1. 실험목적 2. 관련이론 ․ 기본 RS 플립플롭 ․ RS 플립플롭 ․ PR/CLR RS 플립플롭 ․ D 플립플롭 ․ T 플립플롭 ․ 주종 플립플롭 ․ JK 플립플롭 3. 예비보고서
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2011.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

보고서입니다. 과제 수행을 위해 사용한 부품들을 이해하기 위한 간단한 이론 설명과 함께 실제 구현을 위한 논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 9건

장치를 통해 전면의 장애물 인식. MCU, 배터리 등이 분산된 형태의 시스템. 유지 관리가 용이한 전자시스템. 설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 4학년 교내 창의적 종합설계 경진대회 / 권 보 규 교수님 인체
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
장치의 제어를 위한 프로그래밍을 할 수 있었고 각각의 상황에 따라 적절한 조치를 취할 수 있도록 제어 시퀸스를 구상하는데 어려움이 없을 수 있었습니다. 더불어 전자회로 디지털 논리 회로 과목을 꾸준히 수강함으로써 ECU의 회로를 설계
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
보고서 작성능력 검증 기출문제 125 ? 논문 작성을 검증하는 문제 134 ? 기출문제의 유형분석 연구능력을 검정하는 문제 149 가. 기출문제의 유패턴탐색 꼬리질문 답변 대응 149 나. 기출문제 패턴과 대응 171 ? 기본적인 외국어 소통능력을 검
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
top