|
디코더의 LT, BI_RBO, BRI 핀기능의 분석과 활용.hwp…………7p
decoder_lzs.pdf………………………………………………………………1p
Result 1.3gp [00:00:38]
Result 2.3gp [00:00:33]
〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓〓
{LT BRI의 기능, BI/BRO 74LS47 분석, 디코더의 LT, BI/RBO, BRI 핀기능의 분석과 활용 (LT,BI/BRO,BRI의 기능, 74LS47 분석, DECODER, 74LS47 활용, 74LS48의 활,
|
- 페이지 10페이지
- 가격 3,000원
- 등록일 2014.09.20
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
REPORT
<2x4 디코더, 4x2 인코더>
1. 2x4 디코더(복호기)
entity decoder2x4 is
port(A : in std_logic_vector(1 downto 0);
(Y : out std_logic_vector(3 downto 0));
end decoder2x4;
architecture Behavioral of decoder2x4 is
begin
process(A)
begin
case A is
when "00" => Y <= "0001";
when "01" =>
|
- 페이지 9페이지
- 가격 3,000원
- 등록일 2011.06.22
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
통해 들어오는 신호를 선택신호의 제어에 따라 복수개의 출력중 하나로 내보내는 회로이다. <그림 13>에 1-to-4 디멀티플렉서 회로를 나타내었다.
<그림 13>. 1-to-4 디멀티플렉서 디코더
인코더
멀티플렉서
디멀티플렉서
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2006.11.30
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Decoder를 직접 코드화 해보고 Simulation 해서 그 결과 값(그래프를 통해)을 직접 확인해 보는 실험이었다. 그렇게 어렵지 않으면서도 디코더의 동작을 쉽게 이해할 수 있게 해주는 좋은 실험이었던 것 같다. 3개의 입력이 들어갔을 때 8개의 출력
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2005.10.12
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
존재하는 1의 개수는 짝수, 0이면 데이터 선에 존재하는 1의 개수가 홀수이다. 1. 디코더(decoder)
2. 인코더(encoder)
3. 우선순위 인코더
4. 멀티플렉서
5. 가산기(adder)
1) 반가산기(half adder)
2) 전가산기(full adder)
6. 패리티 발생기
|
- 페이지 7페이지
- 가격 3,000원
- 등록일 2009.06.03
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|