|
회로
슈미트 트리거 회로 아래의 내용은 타 게시판에서 옮겨온 내용입니다. 디지탈회로의 기본신호 레벨은 High("1"),Low("0") 그리고 특수하게 High 임피던스 상태 이렇게 세 레벨이 있습니다. 여기서 하이임피던스는 중간값을 의미합니다. 이것
|
- 페이지 12페이지
- 가격 2,000원
- 등록일 2010.04.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
|
- 페이지 9페이지
- 가격 2,000원
- 등록일 2010.04.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
버리게 됩니다. 따라서 래치 회로는 입력 SR=11을 인가하지 않는다는 조건하에 사용하여야 합니다. 만약 래치가 nand 로 구성된 래치라면 00 을 인가하면 안됩니다.
Pspice의 예시 실험 목표
사용 부품
관련 이론
실험 순서
용어 정리
|
- 페이지 8페이지
- 가격 2,000원
- 등록일 2010.04.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털공학개론 다음의 논리식을 최소항으로 표현하고, 진리표를 작성하고, 간소화해보자.
1) 최소항
2) 진리표
ABC
F
000
001
010
011
100
101
110
111
1
d
1
d
1
0
1
1
BC
A
00
01
11
10
0
1
d
d
1
1
1
1
1
3) 간소화 1) 최소항
2) 진리표
3) 간소화
|
- 페이지 2페이지
- 가격 1,500원
- 등록일 2023.11.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로가 무엇인지는 잘 모르겠다. 한 면만 가지고 어떤 것이 최적이라고 단정 짓기는 어렵기 때문이다.
회로를 구성하면서 이렇게 여러 방법으로 회로를 만들 수 있음을 알 수 있어서 이론으로만 알았던 디지털을 실험을 하면서 더 쉽게 이해
전등 제어 시스템 진리표, 논리 소자 AND, (디지털) 전등 제어 시스템, 논리 소자, 진리표, AND, OR, NOT, NAND, NOR, Power supply, IC 7300, 7302, 7386,
|
- 페이지 7페이지
- 가격 2,000원
- 등록일 2015.02.23
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
생각됩니다.
(5) AND, NOT로 모든 논리회로를 구성할 수 있는가 답하고 그 이유를 논하라.
⇒ 구성할 수 있다. 그 이유는 NAND 만으로 AND, OR, XOR을 구성할 수 있으므로 NAND는 AND와 NOT이 합쳐진 것이므로 가능할 것이라고 생각했고, 피스파이스로 확
|
- 페이지 8페이지
- 가격 1,500원
- 등록일 2021.09.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리회로이기 때문에 평소 두 핀은 언제나 High로 되어 있어야하고, Low에서만 입력에 따라 출력이 반응하는 회로이다. 그리고 PRESET과 CLEAR도 서로 반대의 입력일 때만 원래의 기능을 수행한다는 것도 알 수 있다.
- 이번에는 설계로 인하여 실
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2017.04.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
B
C
D
0
0
0
0
1
0
0
0
+5
1
0
0
+5
0
0
0
0
+5
+5
0
0
+5
0
0
1
0
+5
0
+5
1
0
+5
+5
0
0
0
+5
+5
+5
1
+5
0
0
0
1
+5
0
0
+5
0
+5
0
+5
0
0
+5
0
+5
+5
0
+5
+5
0
0
0
+5
+5
0
+5
1
+5
+5
+5
0
0
+5
+5
+5
+5
1
-Exclusive-OR게이트
예상한 결과가 나왔다.
입 력
출 력
A
B
Z
Z\'
0
0
1
0
0
+5
0
1
+5
0
1
0
+5
+5
1
0
-
|
- 페이지 4페이지
- 가격 9,660원
- 등록일 2014.05.28
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
s that are a good test for the priority function it performs.
library IEEE;
use IEEE.std_logc_1164.all;
entity priority is
port(D: in std_logic_vector(3 downto 0);
A : out std_logic_vector(1 downto 0);
V : out std_logic);
end priority;
architecture structural of priority is
begin
V <= '0' when D=
|
- 페이지 5페이지
- 가격 800원
- 등록일 2007.07.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
between all full adders by demonstrating that a 0 and a 1 can be propagated from C0 to C4.
Sol>
A
0
1
0
1
0
1
0
1
B
0
1
0
1
C0
S
0
1
2
1
2
3 [M.Morris MANO] 디지털 논리와 컴퓨터 설계 5장 연습문제
Logic and computer design fundamentals
5단원
2 6 10 14 18 22 26 번
연습문제입니다
|
- 페이지 4페이지
- 가격 1,000원
- 등록일 2007.07.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|