• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,538건

성균관대학교의 역동적이고 혁신적인 연구 환경은 제가 이러한 목표를 달성하는 데 있어 중요한 발판이 될 것입니다. 성균관대학교 전자전기공학부에서의 학문적 성취와 연구 경험은 제가 미래 산업을 이끌어 나갈 수 있는 기술 개발자로서
  • 페이지 4페이지
  • 가격 5,000원
  • 등록일 2023.11.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
성균관대학교 대학원 진학을 통해 보다 심화된 전공지식과 실험 역량을 축적하고, 미래 통신 사회를 이끌어갈 실질적 연구자로 성장하고 싶습니다. 성균관대학교 정보통신대학원 전자전기컴퓨터학과 학업계획서(합격) 1.자신의 학문적
  • 페이지 5페이지
  • 가격 5,000원
  • 등록일 2025.04.04
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
7 downto 0); end if; end if; end process; end Behavioral; (2)Booth 곱셈기 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_signed.ALL; entity booth_multiplier is --승수, 피승수 및 출력의 길이 저장 generic (m_plicand_width : integer :=8; m_plier_width : integer :=8; output_width : intege
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
n for the Unit Under Test (UUT) --테스트벤치에서 실험하게 될 구조체 bit4_add_sub을 정의한다. COMPONENT bit4_add_sub PORT( x : IN std_logic_vector(3 downto 0); y : IN std_logic_vector(3 downto 0); ci : IN std_logic; m : IN std_logic; s : OUT std_logic_vector(3 downto 0); co : OUT std_logic ); END CO
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
logic; G, P, Sum : out std_logic); end component; component Lookahead_carry_generator port(G, P : in std_logic_vector (3 downto 0); Ci : in std_logic; m : in std_logic; C : out std_logic_vector (4 downto 1); PG, GG : out std_logic); end component; begin B_sig(0) <= B(0) Xor m; B_sig(1) <= B(1)
  • 페이지 17페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
<= \'0\'; SEG2_COM2 <=\'1\'; output (6 downto 0) <= \"1111101\"; when x\"7\" => SEG2_COM3 <= \'0\'; SEG2_COM2 <=\'1\'; output (6 downto 0) <= \"0000111\"; when x\"8\" => SEG2_COM3 <= \'0\'; SEG2_COM2 <=\'1\'; output (6 downto 0) <= \"1111111\"; when x\"9\" => SEG2
  • 페이지 11페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
전기전자공학 전공 PZT세라믹스에 있어서 길이진동모드의 온도안정성 玄德壽 저 | 濟州大學校 발행, 전기전자공학과 전공 세라믹스 가공소재의 특성과 인체에 미치는 온열생리 박인자 저 | 성균관대 대학원 발행, 의상학 전공 세라믹스 분말
  • 페이지 47페이지
  • 가격 600원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
성균관대 대학원 발행, 아동심리및교육 전공 장애이해교육에 대한 초등학교 교사의 인식 연구 김정숙 저 | 여수대 교육대학원 발행, 특수교육 전공 Ⅰ. 신생아의 특징 1. 신생아 정의 2. 신체 특징 3. 신생아의 감각 기능의 발달
  • 페이지 14페이지
  • 가격 600원
  • 등록일 2010.05.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
공학 경영학원론 기초전기전자공학 자료구조론 공업제도II 경제성공학 기계공학실습 작업관리및실험 제조공학(I) 공업통계(I) 데이타베이스 컴퓨터통신응용 원가공학 산업공학실험(I) 제조공학(II) 공업통계(II) 3학년
  • 페이지 11페이지
  • 가격 1,400원
  • 등록일 2013.08.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
공학기술자는 대학이나 대학원에서 원자력공학을 전공하여야 한다. 대부분의 대학원에서는 원자력공학을 이수할만한 기초지식을 갖춘 지원자, 즉 물리학, 기계공학, 전기전자공학, 화학공학, 재료공학 등의 전공자에게도 입학 지원 자격을
  • 페이지 12페이지
  • 가격 5,000원
  • 등록일 2009.03.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top