• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,658건

아날로그 와 디지털의 차이점 1. 아날로그량과 디지털량 2. 아날로그 신호와 디지털 신호 3. 아날로그 회로를 디지털 회로로 4. 아날로그 제어와 디지털 제어와의 차이 5. 아날로그 회로와 디지털 회로 Ⅱ. 일상생활에서 컴
  • 페이지 9페이지
  • 가격 1,300원
  • 등록일 2002.03.30
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
및 고찰 (5) A/D 변환기의 응용회로 예를 한 가지 들고, 그 회로를 설명하라. ⇒ 실제의 물리적 현상은 전압, 압력, 온도, 속도 등의 아날로그량으로 이루어진다. 그러나 컴퓨터로 처리하기 위해서는 이들 아날로그량을 디지털량으로 변환해야
  • 페이지 5페이지
  • 가격 1,500원
  • 등록일 2021.09.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 데이터가 변화하는 과도기간에 출력의 아날로그 신호에 글리치(glitch)를 포함하기도 하므로 이들을 제거하기 위하여 저역통과 필터(LPF:Low-Pass Filter)를 사용하기도 한다 디지털 회로와 외부의 아날로그 회로를 절연처리 하고자 하면 A/
  • 페이지 16페이지
  • 가격 1,500원
  • 등록일 2003.07.04
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털출력을 이용한 LED제어(구동) 실험 (1) LED 구동원리와 용도 (2) 디지털 출력의 기능에 대한 조사 4. 백금측온센서를 이용한 온도계측실험 (1) 온도센서의 종류조사 (2) 브리지회로, 연산증폭회로 (3) AD컨버터, DA컨버터의 원리조사
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2011.06.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
디지털오실로스코프의 구성 Ⅱ. 디지털오실로스코프의 개념과 구조 1. 디지털오실로스코프의 기본 구조 2. SAMPLING과 ACTIVE 오실로스코프 PROBE 1) SAMPLING 2) SAMPLING 방법 3) 보간법을 사용한 실시간 SAMPLING (Real-Time sampling) 4) 등가 시간 SAMPLING
  • 페이지 8페이지
  • 가격 5,000원
  • 등록일 2009.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 10건

회로 KA4558 (Dual Operational Amplifier) 그림 46. 4558 데이터 시트 5.2 Clean Boost - 클린 부스트 그림 47. Clean Boost 전체 회로 5.3 Fuzz - 퍼즈 그림 48. Fuzz 전체 회로 5.4 Delay - 딜레이 그림 49. Delay 전체 회로 PT2399 (Single Chip Echo Processor IC) 그림 50. PT2399 PIN 배
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
아날로그 신호값들을 통신 회로를 통해 실시간으로 모니터링 할 수 있게 한다. <그림 4-16> 시스템 구성도 4.5.3 시뮬레이션 ○ 모터의 파라미터 선정 정격용량() = 19.9[kW] 정격전압() = 260[V] 정격전류() = 90[A] 정격속도() = 1850[rpm] 1850×=193.728[ra
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로내장, 리튬폴리머전지 ㆍ5.6 x 24 x38mm의 소형사이즈, 무게 10g, Cell 3.7V/500mA ㆍ소형이면서 대용량 ㆍ직·병렬연결하시면 대용량으로 로봇제품에 사용하기 가장적합한 제품 3.1.8 무선모듈 XBee Pro [표 3-9] 무선모듈 Xbee Pro 사 진 내 용 ㆍISM 2.4 GHz
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진, 회로도 등이 포함되어 있습니다. 
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
디지털 액자 제작을 위한 하드웨어 구현과 소프트웨어를 설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 32건

디지털 회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논문을 검색하여 기존 회로에서 개선된 기능들을 설계하는 즐거움도 가졌습니다. 뿐만 아니라 CMOS 아날로그 전자회로 설계를 공부하면서 간단한 필터, 증폭기 등을 FULL
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
아날로그/디지털 회로의 분석과 설계 등에 대해 공부해 왔습니다. 그 중에서도 시스템에 대한 전반적인 분석에 흥미가 있습니다. 지도교수님이셨던 임화영 교수님께서는 진정한 엔지니어가 되기 위해서는 어떠한 복잡한 회로나 시스템이라
  • 가격 1,200원
  • 등록일 2005.12.27
  • 파일종류 한글(hwp)
  • 직종구분 전문직
s에 학술탐방을 다녀왔습니다. 이곳에서 웨이퍼가공장비, 생산 실험용 테스트 장비, 제품(검사, 측정, 계측), 박막 제조 장비에 관련된 심화된 공부를 할 수 있었습니다. 또한 전공수업을 통해서 아날로그 및 디지털 회로를 학습했고 연구 활동
  • 가격 3,000원
  • 등록일 2023.06.15
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
디지털 신호로 변환할 수 있는 아날로그 기술이 점점 중요해지게 되었습니다. 아날로그 회로 설계에서 가장 중요한 점은 소모 전력입니다. 전자 제품의 크기는 줄이면서 동작 속도를 높이는 동시에 사용시간을 늘리기 위해서는 앞으로 더욱
  • 가격 2,000원
  • 등록일 2019.07.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
top