• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,941건

15-0까지 10진수로 감소하도록 나타내어라. (단, MyCad의 시그널 합치기...를 이용한다. 입력 CLK의 주기는 40ns이다.) ■ 실험목적 ■ 실험이론  (1) 비동기식 카운터  (2) 동기식 카운터  (3) Up/Down counter ■ 실험준비물 ■ 예비과제
  • 페이지 7페이지
  • 가격 2,300원
  • 등록일 2012.04.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
예비과제 (1) 비동기식 카운터(Asynchronous counter)의 원리를 설명하라. (2) 비동기식 카운터의 장단점을 열거하라. (3) 비동기식 카운터에서 클럭펄스의 주파수가 높아지면 어떠한 현상이 일어날 것인가를 예측하라. (4) <그림 19.8> 회로에 대
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2011.09.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
negative edge trigger 이기 때문이다. 그러므로 회로를 설계할때 up counter을 만들고 싶으면 앞단의 Q값을 다음단의 clock로 입력해주면 되고 down counter을 만들려면 값을 clock에 연결해주면 된다. 실험목적 실험준비물 예비과제 설계 및 고찰
  • 페이지 14페이지
  • 가격 1,500원
  • 등록일 2021.09.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Counter인 것 같았다. 1-out-of-n code는 n 개의 비트 중 하나만 1이 되어 정보를 나타내는 코드인데, 이것이 Ring Counter와 잘 맞을거란 생각이 들었다. 논리회로실험 8. 카운터 ⅰ. 7476 J-K 플립플롭을 이용하여 예비 과제에서 구한 4단 2진 count-up 리플 카
  • 페이지 11페이지
  • 가격 3,000원
  • 등록일 2009.01.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 실험 5. 인코더 (Encoder) 실험 1. 인코딩 - 10진 / Excess - 3 코드 실험 2. 7 segment 표시기를 갖는 BCD 카운터 논리회로실험 6. Latch & Flip Flop (1) 예비과제 (1)에서 구한 R-S Latch를 구성한 후 출력을 측정하라. (2) R-S F/F을 구성한 후 출력을
  • 페이지 15페이지
  • 가격 3,000원
  • 등록일 2009.01.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 34건

예비타당성조사 성과와 과제”, 「국토」, 2016. 03. 이종찬, “제도변화에 대한 이론적 고찰”, 「한국정치학회보」, 4(1), 2014. 신가희, “예산심의과정에서 예비타당성조사제도의 정치적 수용성에 관한 연구”, 「한국정책학회보」, 24(2), 2015.
  • 페이지 13페이지
  • 가격 2,200원
  • 발행일 2016.12.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
예비조사   2) 본 조사   3) 자료처리 Ⅲ. 연구결과 및 해석  1. 숲유치원에 대한 예비유아교사의 인식 및 요구도   1) 숲유치원에 대한 예비유아교사의 인식 조사 결과    (1) 숲과 인간에 대한 인식    (2) 숲유치원에 대한
  • 페이지 21페이지
  • 가격 3,300원
  • 발행일 2012.05.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
예비타당성조사 표준지침 수정 보완 연구[제4판]” 6. 국토연구원(2004), “도로정비기본계획 재정비 수립 연구 보고서” 7. 한상진박준석정유진(2005), “AHP 분석기법을 활용한 ASEAN 도로망 투자우선순위 분석”, 대한교통학회논문집 제23권 1호.
  • 페이지 8페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
과제 추진실적 점검결과(안)" 서울 : 기획예산처 기획예산처(2007a). "2006년도 주요정책 자체평가 결과보고서" 서울 : 기획예산처 Ⅰ 서론(대규모 투자사업의 중요성) 1. 총사업비 관리제도 2. 예비타당성 조사제도의 시행 Ⅱ 본론(대
  • 페이지 10페이지
  • 가격 2,500원
  • 발행일 2009.06.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
과제, 한국영유아보육학, 60, 1-18. 今泉みね子, Meiser annette. (2007). 숲에서 크는 아이들. 파주: 파란자전거. Bird, T., Anderson, L., Sullivan, B., & Swidler, S. (1992). Pedagogical balancing acts: A teacher educator encounters problems in and attempt to influence prospective teachers’ be
  • 페이지 18페이지
  • 가격 3,300원
  • 발행일 2014.01.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 59건

회로이다. Start 버튼을 누르면 NE555 IC에서 펄스파형이 생성되고, 펄 스파형이 바이너리 카운터로 입력되면서 디코더를 통해 7-Segment로 숫자가 출력된다. 설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 3학년 1학기 전자회
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
과제와 프로젝트를 통해 회로 설계 및 검증 EDA를 숙달했습니다. 가장 많은 열정을 투자한 Project1이 제일 기억에 남습니다.. 1. 자발적으로 최고 수준의 목표를 세우고 끈질기게 성취한 경험에 대해 서술해 주십시오. (본인이 설정한 목표/
  • 가격 3,500원
  • 등록일 2023.05.05
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신
(영문작성 시 2000자) 이내. 4. 지원 직무 관련 프로젝트/과제 중 기술적으로 가장 어려웠던 과제와 해결방안에 대해 구체적으로 서술하여 주시기 바랍니다. (과제 개요, 어려웠던 점, 해결방법, 결과 포함) 1000자 (영문작성 시 2000자) 이내
  • 가격 1,000원
  • 등록일 2018.07.01
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
과제를 수행한 경험이 있습니다. 그 외에도 IDEC등 외부 강의를 수강하며 회로설계 및 반도체 8대 공정에 대해 지식을 쌓았습니다. 현재는 학부 수업을 들으면서 VLSI회로설계, 아날로그 집적회로, 반도체 프로세스 수업을 들으며 기초 지식을
  • 가격 3,000원
  • 등록일 2023.02.21
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
과제: 타 문화에 대한 이해력과 포옹력을 넓힌 경험 유학시절,저와 성향이 다른 팀원들과 함께 과제를 수행하며 문화적 차이를 극복하고 과제를 성공적으로 끝낸 경험을 통해 타 문화에 대한 이해력과 포용력을 기를 수 있었습니다 국제 무
  • 가격 1,500원
  • 등록일 2017.08.27
  • 파일종류 한글(hwp)
  • 직종구분 기타
top