 |
state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
signal state : state_type;
signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
signal key_d,key_det : std_logic;
signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;
signal save1,save2,save3,save4 : std_logi
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|
 |
state of mother’s employment affect young children\'s basic life habits including sleeping, eating, evacuation and play habits?
Results of the survey on the basic life habits of young children according to the state of mother’s employment show that the working mom’s child present basic life habits,
|
- 페이지 22페이지
- 가격 3,000원
- 발행일 2009.09.16
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
 |
state of physical education activity, and physical education activating.
The subject of this study was 250 teachers who have been working at institution for early childhood education. For verifying the collected data for the study, χ² square, and ANOVA were performed.
As a result of this study, it
|
- 페이지 24페이지
- 가격 3,300원
- 발행일 2012.05.10
- 파일종류 한글(hwp)
- 발행기관
- 저자
|