• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2건

4x2 인코더(부호기) entity encoder4x2 is port( X : in std_logic_vector(3 downto 0); ( Y : out std_logic_vector(1 downto 0)); end encoder4x2; architecture Behavioral of encoder4x2 is begin process (X) -- process 문에서 동작하는 모든 것을 써줘야함 괄호안에 begin case X is when "0001" => Y <
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2011.06.22
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
encoder)라 한다. 즉, 부호기는 2n개의 서로 다른 정보를 n비트 2진 코드로 바꿔 주는 조합 논리회로이다. 4x2 부호기를 설계하시오. 4.12 MUX의 반대 기능을 갖는 회로를 역다중화기(Demultiplexer, DeMUX)라 한다. 즉, DeMUX는 1개의 입력을 여러 개의 출력
  • 페이지 18페이지
  • 가격 2,300원
  • 등록일 2007.12.01
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top