 |
Port 클릭
포트 생성
Project tree에서 Analysis Add Solution 클릭
주파수와 edge길이를 입력 확인
Analysis Setup1 Add Frequency Sweep 클릭
Single value 선택
Value 값을 3.3 GHz로 입력 후
업데이트.
기존의 Sweep 목록 삭제
OK버튼 클릭
Analysis Setup1 Sweep1 Analyze 클
|
- 페이지 22페이지
- 가격 1,500원
- 발행일 2008.05.02
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
 |
door_lock.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity door_lock is
port(
clk : in std_logic;
sw1,sw2 : in std_logic;
key1 : in std_logic;
clear : in std_logic;
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|
 |
d%d:%d%d \",hour1H,hour1L,min1H,min1L);//알람출력
lcd_puts(lcd_text2);
sprintf(lcd_text3,\"%c\",bang);
lcd_puts(lcd_text3);
lcd_putchar(0);
lcd_puts(lcd_text3);
}
TCNT0 = 56; //TCNT0을 56으로 초기화
}
- 18 -
void main(void)
{
DDRA=0x00;
PORTA=0x00;
DDRB=0xff;
PORTB=0x00;
TCCR0=0x02; //prescaler
|
- 페이지 31페이지
- 가격 3,000원
- 발행일 2014.12.16
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
 |
1 조작부
조작부 회로도를 작성해보았다.
4.1.1 전체
[그림 4-1] 회로도 전체
4.1.2 ATmega128
[그림 4-2] ATmega128
4.1.3 JTAG Port
[그림 4-3] JTAG Port
4.1.4 Power(+3.3v)
[그림 4-4] Power(+3.3v)
4.1.5 RF(Zigbee)
[그림 4-5] RF(Zigbee)
4.1.6 TFT-LCD
[그림 4-6] TFT-LCD
4.2 동작부
4.2.1
|
- 페이지 23페이지
- 가격 3,000원
- 발행일 2010.03.24
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
 |
16] 한상만외 2인, 마케팅전략, 박명사, 2004
[17] 해양수산부, 항만물류산업의 클러스터화 및 활성화 방안연구, 2006
[18] 해양수산부, SP-IDC, 각년도 자료
<해외자료>
[1] Containerisaton International Yearbook, 2005
[2] Peter W. De Langen., Governance in Seaport Cluste
|
- 페이지 33페이지
- 가격 4,000원
- 발행일 2011.12.23
- 파일종류 한글(hwp)
- 발행기관
- 저자
|