• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 13건

응용 서한석, 최영섭 공저 知&BOOK 2007 Embedded Linux 기반의 로봇 설계 & 제작 김상헌, 정재영, 이동명 공저 YoungJin.com 2004 ROBO-ONE을 위한 2족보행로봇 제작가이드 홍선학, 김송미, 이범로 공저 성안당 2006 國文抄錄 ⅴ 제 1 장 소형 무인 탐사 로
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
응용한 장비에서 가장 많이 쓰이는 유용한 기술을 사용하였다. 각각의 시스템에 쓰이는 IC 소자와 회로를 pspice 툴을 이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
C6713 DSK와 Code Composer Studio Ⅱ-1. TI C6713 DSK Ⅱ-2. Code Composer Studio Ⅲ. 효과적인 DSP를 하기위한 이론 Ⅲ-1. I/O Module Ⅲ-2. Ping-Pong Buffer Ⅳ. Filter 설계 Ⅳ-1. Low Pass Filter Ⅳ-2. LPF Code Ⅳ-3. Band Pass Filter Ⅳ-4. BPF Code V. DSB-SC의 시스템 설
  • 페이지 21페이지
  • 가격 6,500원
  • 발행일 2009.01.02
  • 파일종류 압축파일
  • 발행기관
  • 저자
설계, 부경대 대학원 2006 석사 논문 , 국회도서관 DLL 석사논문 자료실. <9> 백 동 철, PSpice를 이용한 회로설계의 기초, 복두출판사 2001 p.56~p.57 Active Filter - 목 차 - 1. 서론 2. PLL / DLL의 기본개념과 동작원리 3. Phase Detector
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
C IP 주소에서 2비트 또는 3비트를 사용하여 서브네팅 하였을 때의 결과 비교 그림 3-7. IP 패킷 구조 그림 3-8. ARP의 동작 순서 그림 3-9. SLIP의 프레임 구조 그림 3-10. PPP 프레임 구조 그림 3-11. TCP 프로토콜 데이터 단위 (PDU) 그림 3-12. UDP 프
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
c㎝ 학 부 과정 학사 학 번 성 명 논문제목 지도교수 7cm ※단, 본 작성법에서 정하지 아니한 내용은 "금오공과대학교 석·박사 학위논문 작성법"을 준용한다. 1. 학위 논문의 특성 2. 논문 작성의 5단계 (1) 논문의 규격 (2) 논문의 체제
  • 페이지 11페이지
  • 가격 2,000원
  • 발행일 2008.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Charles E. Merrill Publishing Co. Laban, R. (1963), Modern educational dance(2nd ed.). London : MacDonald & Evans. DeMariar, C. R. (1974), Dimensions of physical education. Saint Louis : The C. V. Mosby Co. Ian Hacking, 선혜영, 황경식 역, 왜 언어가 철학에서 중요한가?, 서울:서광사, 1989. 
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2009.03.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
응용 제 4장 결론 참고문헌 Abstract 표 목 차 <표 1> 음악과 무빙타이포그래피의 요소비교 <표 2> 테크노 분석. 그 림 목 차 <그림 1> 실제로 우리가 보는 책에서 타이포그래피는 매우 큰 역할을 차지하고 있다 <그림 2> 우리는 모르고
  • 페이지 72페이지
  • 가격 8,900원
  • 발행일 2008.10.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
응용 저자 진달복 *C를 이용한 8051 저자 차영배 *80c196kc 저자 진달복 *공장자동화 소프트웨어 기타 등등.. Ⅰ. 서론 1. 작품의필요성 ----------------------------- Ⅱ. 기초자료 1. 부품별, 기능별 기초자료-------------------- 1) 부품별 기초
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
C. Yang저, 김남수역, “CDMA 이동통신 공학”, 대영사, (1999). 6. 강창언, “셀룰러 이동통신공학”, 복두출판사, (2000). 7. 정만영, “셀룰러 이동통신방식 설계”, 시그마플러스, (2001). 8. 손동욱, “신 정보통신 개론”, 생능출판사, (2001). 제1장
  • 페이지 20페이지
  • 가격 5,000원
  • 발행일 2008.02.10
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이전 1 2 다음
top