• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,864건

: 중앙처리장치란 인간의 뇌처럼 컴퓨터의 각 부분을 제어하고 처리하는 장치입니다. 컴퓨터의 두뇌라고 할 수 있는 CPU는 제어기능과 산술논리 연산을 담당하고 있습니다. ◎ CPU Architecture ◎ Instruction type - I, R, J(jump and branch)
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2008.11.28
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
CPU(중앙처리장치)의 정의 Ⅲ. CPU(중앙처리장치)의 구조 Ⅳ. CPU(중앙처리장치)의 분류 1. CISC(Complex Introduction Set Computing) 2. RISC(Reduced Instruction Set Computing) 3. 슈퍼 파이프 라인(Super Pipe Line) 4. 슈퍼 스칼라(Super Scalar) Ⅴ. Intel(인텔) CPU(중앙
  • 페이지 14페이지
  • 가격 5,000원
  • 등록일 2009.03.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Texas Instruments(2001년), C6000 CPU and Instruction Set Reference Guide 이채욱(2000년), 최신 디지털신호처리 I. 서론 II. 본론 1. SP player를 이용한 소리 나는 종이 2. 소요 핵심기술 3. SP Player기술의 응용분야 4. SP Player 탄생의 의미 III. 결론
  • 페이지 7페이지
  • 가격 700원
  • 등록일 2005.07.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
CPU의 레지스터 ··················· 3 2.2 CPU들의 특징 1. Intel Pentium4 프레스캇 531 ····· 4 가. Intel Pentium4의 명령어 형식과 구조 ···· 6 ① SSE (Streaming SIMD Extensions) ·· 6 ② SIMD (Single Instruction M
  • 페이지 36페이지
  • 가격 3,000원
  • 등록일 2010.04.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
장치(ALU : Arithmetic Logic Unit) 2. 제어장치(Control Unit) 3. 레지스터(Register) Ⅳ. CPU(중앙처리장치)의 종류 1. 처리 용량에 따른 구분 1) 8비트 2) 16비트 3) 32비트 4) 64비트 2. 처리 방식에 따른 구분 1) CISC(Complex Instruction Set Computer) 2) RISC(Re
  • 페이지 16페이지
  • 가격 6,500원
  • 등록일 2009.03.18
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 103건

장치(관리체계) 1. 행정서비스헌장제도의 도입배경과 내용 2. 바람직한 관리체계로서의 TQM Ⅲ. 부산광역시 행정서비스 제도의 운영실태와 문제점 1. 부산광역시의 서비스헌장제도 2. 기초자치단체 및 사업소의 서비스헌장제도 Ⅳ. 지방
  • 페이지 28페이지
  • 가격 4,000원
  • 발행일 2005.05.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
처리와 관련해 쾌적한 환경에 꾸준한 관심을 가져야 한다. 참고문헌 1. 단 행 본 김남천 외 3인 공역(1995), 「가정쓰레기 이야기」, 서울: 신광문화사. 조진상(2001), 「독일 도시의 쓰레기 관리정책」, 서울: 월산. 중앙종량제추진협의회규정 (제
  • 페이지 20페이지
  • 가격 2,900원
  • 발행일 2008.10.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
중앙회 내의 비정규직근로자들에 대한 근로조건 개선을 비롯한 각종의 처우개선과 2007년 7월 1일 이후 발효된 ‘비정규직보호법’을 근거로 이루어지고 있는 비정규직근로자의 정규직화에 대한 문제점을 진단하여 정규직화에 따른 대책방안
  • 페이지 30페이지
  • 가격 4,000원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
장치를 이용한 감압증류 실험을 수행하였으며 아울러 공정 모사를 통해 혼합 비율별 실제 공정 처리시의 수율 및 물성을 예측한 결과는 적절한 재이용이 가능하게 된다. 또한 폐유중 환경오염 유발물질들이 감압 잔사 유분속에 잔류하게 됨
  • 페이지 7페이지
  • 가격 5,000원
  • 발행일 2013.03.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2.2 AVR; 영상처리를 통한 data를 입력받아 조향장치인 서보 모터와 동력장치인 DC모터를 제어하기 위한 PWM을 만들어준다. 2.2.1 AVR의 특징 -AVR 은 Alf(Bogen) Vergard(Wollen) Risc 의 약자로서 ATMEL사에서 제작된 RISC 구조의 MPU 이다. 비교적 저렴한 가격
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 110건

처리합니다. 또한 제가 가지고 있는 자산중의 하나는 추진력입니다. 실행함에 앞서 보다 철저한 계획을 하고, 계획된 목적에 따라 순차적으로 추진할 수 있는 힘을 가지고 있어서 보다 신속한 목적 달성을 이룰 수 있습니다. 하지만 때로는
  • 가격 1,000원
  • 등록일 2007.01.01
  • 파일종류 워드(doc)
  • 직종구분 전문직
분야에 도전하고자 합니다. 중앙대학교 기계공학부가 저의 든든한 버팀목이 되었으면 합니다. 1. 지원 동기 2. 지원학과의 관심 학문 분야 3. 학습목표 및 계획 4. 장래 포부 5. 자기 소개(인생관, 가치관, 장단점 등을 간략히 기술)
  • 가격 1,800원
  • 등록일 2007.12.04
  • 파일종류 한글(hwp)
  • 직종구분 기타
처리하기 위해 대인관계 능력과 사회성 역시 중요하다고 봅니다. 이러한 자질을 저는 가지고 있다고 생각하며 이러한 자질의 향상을 위해 지속적으로 마인드 컨트롤을 통해 노력하고 있습니다. 저는 이러한 기본소양을 중앙대학원에서 쌓은
  • 가격 2,000원
  • 등록일 2008.11.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
처리하며, 책임감을 가지고 일하는 것을 중요시합니다. 저는 **[끊임없이 배우고 성장하는 사람이라는 점]**을 어필하고 싶습니다. 저는 새로운 지식과 기술을 습득하기 위해 노력하고, 금융 및 경영 분야의 전문가가 되고 싶습니다. 
  • 가격 6,000원
  • 등록일 2024.05.03
  • 파일종류 한글(hwp)
  • 직종구분 기타
일을 동시에 수행하는 것이 필요할 수도 있습니다. 그러나 수행할 일의 중요도와 신속도를 고려하여 합리적인 순서를 정한 뒤, 한가지씩 집중하여 빠르게 처리한다면 여러가지 업무를 효과적으로 수행할 수 있다고 생각합니다. 
  • 가격 4,000원
  • 등록일 2009.07.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직

서식 1건

top