|
nent seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGI
|
- 페이지 5페이지
- 가격 1,500원
- 등록일 2019.06.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|