목차
1. 실험 내용
2. 실험 결과
2. 실험 결과
본문내용
nt seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT : std_logic_vector(5 downto 0);
signal SEG_1 : std_logic_vector(6 downto 0);
begin
key : seg
port map(
CLK_4M, -- : in std_logic;
RSTB, -- : in std_logic;
SEG_1, -- : out std_logic_vector(6 downto 0));
DIGIT -- : inout std_logic_vector(5 downto 0);
); -- 각각에 포트 선 연결
CLK_4M<= not CLK_4M after 10 ns; -- 50Mhz 클럭 발생
RSTB<=\'1\' after 200 ns; -- 리셋 신호
end tb;
1-2) 동작 결과
500hz로 세그먼트가 순차적으로 점멸하기에 숫자가 차례대로 표시되어야 하는 듯 하지만 육안으로는 500hz를 인식 할 수 없기에 동시에 발광하는 것처럼 표시가 되었다. 촬영에 사용된 카메라도 기본 노출 시간이 1/500sec보다 느려서 모두 불이 들어와 있는 것처럼 촬영되었다.
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT : std_logic_vector(5 downto 0);
signal SEG_1 : std_logic_vector(6 downto 0);
begin
key : seg
port map(
CLK_4M, -- : in std_logic;
RSTB, -- : in std_logic;
SEG_1, -- : out std_logic_vector(6 downto 0));
DIGIT -- : inout std_logic_vector(5 downto 0);
); -- 각각에 포트 선 연결
CLK_4M<= not CLK_4M after 10 ns; -- 50Mhz 클럭 발생
RSTB<=\'1\' after 200 ns; -- 리셋 신호
end tb;
1-2) 동작 결과
500hz로 세그먼트가 순차적으로 점멸하기에 숫자가 차례대로 표시되어야 하는 듯 하지만 육안으로는 500hz를 인식 할 수 없기에 동시에 발광하는 것처럼 표시가 되었다. 촬영에 사용된 카메라도 기본 노출 시간이 1/500sec보다 느려서 모두 불이 들어와 있는 것처럼 촬영되었다.
추천자료
[A+ 결과] 논리회로 실험 인코더 (Encoder)와 Latch & Flip Flop[사진 및 파형 모두첨부]
[A+ 결과] 논리회로 실험 Shift Register & Ring Counter&COUNTER [사진 및 파형 모...
(IT와경영정보시스템)(1) 일반적인 컴퓨터의 처리 방식인 직렬처리 방식에 비해 병렬처리 방...
디지털공학실험 8장 논리회로의간소화(예비)
논리대수와 드모르간 정리, 간소화&#10625;논리회로 간소화 실험
디지털회로실험 예비보고서-산술논리 연산 장치
[전자컴퓨터정보통신공학부 NEXT 통신시스템 프로그램] 디지털회로 실험 <교안>
디지털논리회로실험 텀프로젝트
디지털회로실험 교안
소개글