논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments 보고서
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments 보고서에 대한 보고서 자료입니다.

목차

1. 실험 내용
2. 실험 결과

본문내용

nt seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT : std_logic_vector(5 downto 0);
signal SEG_1 : std_logic_vector(6 downto 0);
begin
key : seg
port map(
CLK_4M, -- : in std_logic;
RSTB, -- : in std_logic;
SEG_1, -- : out std_logic_vector(6 downto 0));
DIGIT -- : inout std_logic_vector(5 downto 0);
); -- 각각에 포트 선 연결
CLK_4M<= not CLK_4M after 10 ns; -- 50Mhz 클럭 발생
RSTB<=\'1\' after 200 ns; -- 리셋 신호
end tb;
1-2) 동작 결과
500hz로 세그먼트가 순차적으로 점멸하기에 숫자가 차례대로 표시되어야 하는 듯 하지만 육안으로는 500hz를 인식 할 수 없기에 동시에 발광하는 것처럼 표시가 되었다. 촬영에 사용된 카메라도 기본 노출 시간이 1/500sec보다 느려서 모두 불이 들어와 있는 것처럼 촬영되었다.
  • 가격1,500
  • 페이지수5페이지
  • 등록일2019.06.29
  • 저작시기2015.7
  • 파일형식한글(hwp)
  • 자료번호#1103905
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니