• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,115건

변화 제 1장 컴퓨터와 디지털 논리회로 제 2장 데이터 표현 제 3장 논리 게이트와 부울 대수 제 4장 부울대수 간소화 및 구현 제 5장 조합 논리회로 제 6장 순서 논리회로 제 7장 레지스터와 카운터 제 8장 기억장치와 PLD
  • 페이지 183페이지
  • 가격 5,000원
  • 등록일 2014.08.31
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로라 한다. 그림 3.2를 논리식으로 표현하면 식(3-1)과 같이 된다. Y =Y1 Y2 Y3 =AB CD EF 식(3.1)에서 마지막 항은 각 변수에 OR를 취한뒤 반전된 형태이다. 드모르간의 정리를 dldydgkaus 식(3.1)은 다음과 같이 된다. Y=AB+CD+EF 드 모르간의 정리를 이용하
  • 페이지 4페이지
  • 가격 500원
  • 등록일 2010.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리식을 구하면 다음과 같다. S = A · B + A · B = A + B C = A · B 입력 출력 A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 2.전가산기 A, B en 입력 외에 앞단으로부터 1개의 자리올림수도 동시에 가산을 행할 수 있는 회로를 전가산기회로라 한다. 만약 A=011과 B=101을
  • 페이지 4페이지
  • 가격 700원
  • 등록일 2010.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리프로그래밍 방식에 의한 게이트레벨 논리회로 합성에 관한 연구, 서울대학교 김희석(2000), 이근만 저논리회로 실험(TTL 게이트와 PLD를 이용한), 에드텍 김상진(1990), 디지탈 IC의 활용(게이트에서 마이컴까지), 집문당 문경주(2010), 분산전원
  • 페이지 7페이지
  • 가격 6,500원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1장 컴퓨터와 디지털 논리회로 제2장 데이터 표현 제3장 논리게이트와 부울대수 제4장 부울함수의 간소화 및 구현 제5장 조합논리회로 제6장 순서논리회로 제7장 레지스터와 카운터 제8장 기억장치와 PLD * 각 장별 출제예상문제 + 해설포함 *
  • 페이지 72페이지
  • 가격 9,000원
  • 등록일 2017.05.18
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 2건

회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 13건

회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
논리 회로 (Digital Logic Circuits) □ 기본 논리 게이트(AND, OR, NOT, NAND, NOR, XOR, XNOR)의 진리표를 작성하시오. □ 플립플롭(flip-flop)의 종류와 그 동작 원리를 설명하시오. □ 레지스터와 시 <제목 차례> ? 이 자료를 구성하면서 읽어본 참고 문헌
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
top