• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2건

게임을 제어 하기 위한 시그널 signal m_clk : std_logic; signal sssm_clk,sm_clk,ssm_clk : std_logic; signal bar_pos : std_logic_vector(7 downto 0); signal dd1,dd2,dd3,dd4,dd5,dd6,dd7,dd8,dd9,dd10,dd11 : std_logic_vector(6 downto 0); -- 똥들 begin process( V_sync, reset )--motion clock gener
  • 페이지 400페이지
  • 가격 3,000원
  • 등록일 2006.06.20
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
목 표 : verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 양궁게임 만들기 파 일 : active-HDL, Quartus 2 및 한글2007 설명 파일 제작 도구 : quartus2 program and nios board 게임 로직 : 처음 게이지 모드에서 key_verti 버튼을 누르면 화살 과녁의 수
  • 페이지 9페이지
  • 가격 7,000원
  • 등록일 2008.12.08
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top