|
Abl의 SH3에 Binding하는 protein은 Bcr과 GAP-rho의 특이성을 갖는다.
( The protein of Abl SH3 binding has specificity of BCR and GAP-rho)
황 성 봉
Sung-Bong, Hwang
한양대학교 이과대학 생화학 및 분자생물학과
Department of Biochemistry and Molecularbiology of science.
Hanyang University
|
- 페이지 110페이지
- 가격 3,300원
- 등록일 2002.10.20
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
sh3 : OUT STD_LOGIC);
END COMPONENT;
COMPONENT arithmetic4bit IS PORT(
a0, a1, a2, a3 : in std_logic;
b0, b1, b2, b3 : in std_logic;
s: in std_logic_vector(1 downto 0);
e0, e1, e2, e3: out std_logic);
END COMPONENT;
COMPONENT fourfulladder IS PORT(
a0, a1, a2, a3 : IN STD_LOGIC;
b0, b1, b2, b3 : IN
|
- 페이지 21페이지
- 가격 3,000원
- 등록일 2006.04.25
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
SH3 하바드 그래픽스 프레젠테이션 파일
SIT Stuffit, 압축된 매킨토시 아카이브 파일
SND NeXT, 사운드 파일
SND 매킨토시, 사운드 리소스 파일
SNM 넷스케이프, 메일 폴더 인덱스
SPI Siemens Scanner, 그래픽 파일
SPI Phillips Scanner, 그래픽 파일
STY 아
|
- 페이지 12페이지
- 가격 2,300원
- 등록일 2002.03.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|