• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 13,100건

VHDL 코딩에서 얻어낸 심볼로 "lastalu"를 설계한 회로도 이다. 결론 - 이번 연산은 산술뿐만 아니라 논리 연산까지 수행하는 4 bit ALU를 설계를 하였다. 스키메틱이 아닌 VHDL의 코딩으로 회로를 설계 하였는데, 처음 과제를 받았을 때 어떤식으로든
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
ALU의 Block diagram과 비교해 보았을 때, 정상적인 합성이 이루어졌다는 사실을 확인 할 수 있습니다. 6. Conclusion - 이번 과제는 VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한 합성을 통하여 설계된 ALU가 정상적으로 동작하
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU 설계에 관한 연구, 한양대:석사논문 김종현, 컴퓨터구조, 생능출판사 기한제, 컴퓨터구조론 이강현, 컴퓨터구조 설계(VHDL코딩을 중심으로), 대영사 이재범·남기찬(1999), 정보기술과 PC활용, 법문사 조순복·김광희, 8086/8088 마이크로프로세서
  • 페이지 15페이지
  • 가격 6,500원
  • 등록일 2009.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
목적 VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다. 필요성 주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2006.06.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
ALU를 설계해 보았다. 단순한 연산을 떠나 조건문을 이용하여 다양한 케이스의 연산을 설계하고 같은 입력 값을 다른 연산을 수행시켜 보았다. 쉬프트연산을 굳이 쉬프트 연산자를 이용하지 않고도 간단하게 구현할 수 있었다. · ALU를 이용해
  • 페이지 19페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 130건

VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
: 16 ㎝/s 이상 150 ㎝/s 미만 사용환경 :  -20 ℃ ~ 50 ℃ 설치 및 사용이 용이하고 외부 이물질로부터 차폐될 것. 연구목적 완강기의 정의 - 문제점과 개선방향 설계 - Solid Edge를 이용한 3차원 모델링 제작 고찰
  • 페이지 11페이지
  • 가격 2,000원
  • 발행일 2008.12.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
이용한 설계 80 Verilog-HDL을 이용한 설계 20 Simulink를 이용한 시뮬레이션 20 Simulink를 이용한 시뮬레이션 80 <표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분해능이 다르기 때
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계와 동시에 해석을 하는 프로그램은 DAFUL, 이 외에 국내에서 1개뿐이다. 그만큼 희소성이 크고 발전가능성이 있는 프로그램이라고 할 수 있다. 그러나 출시가 된지 얼마 안 되었기에 잦은 패치와 참고할 만한 서적과 자료가 부족하다. 아
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 236건

이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다.
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계 제안서 김성민 김상빈 김지호.hwp…………………………………………………2p 종합설계 제안서 태양광과 벅 컨버터를 이용한 충전시설 설계 ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
능력을 이용한 최적설계를 통하여 이 두 마리 토끼를 다잡을 수 있는 그런 설계를 하고 싶습니다. BEST 입사지원서 1. 성장과정 및 성격의 장단점 2. 학창시절 및 경력사항 3. 지원부문에 대한 전공내용 4. 지원동기 및 입사 후 포부
  • 가격 2,500원
  • 등록일 2009.07.17
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계 기술력 향상을 위하여 기술 개발 제품들에 대한 설계 신뢰도 향상 및 설계검증 등 역량을 쌓으며 Background를 구축하겠습니다. 10년 후에는 정직의 가치를 지키며 해외 경쟁사들과 전략적 기술 제휴를 체결하며 선진 기술 도입 및 글로벌
  • 가격 4,000원
  • 등록일 2023.09.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top