• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 46건

다시 한번 숙지 할 수 있는 좋은 기회가 되었습니다. 그리고 ALU에 대한 개념과 원리에 대해서 이해 할 수 있는 시간이 되었습니다. 1. Background 2. ALU Function Table 3. ALU 설계방법 4. VHDL Code 및 시뮬레이션 5. Synthesis 6. Conclusion
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계』, 다다미디어 4. 정희성 외, 『디지털 회로 기술 언어 입문, 논리설계와 HDL의 기초』, 홍릉 과학 출판사 5. 최명렬, 『주문형 반도체 설계 ASIC DESIGN』, 하이테크정보 6. Stephen Brown/Zvonko Vranesic, 『Fundamentals of Digital Logic with VHDL Design 3/e』,
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
기판을 연결해서 디지털 시계를 만들어 본다던지 하는 것에 관심이 생겼다. 다음 실습인 계산기 설계에서도 미리미리 준비하고 예습해서 어려움 없이 성공적으로 실습을 마무리 지어야 겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
목적 VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다. 필요성 주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2006.06.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top