• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 10건

FPGA 킷을 사용하여 디지털 시계를 설계하였다. 여태까지 했던 실습들은 vhdl코딩만 하고 자일링스 프로그램만 돌리면 끝이었는데 이번 실습부터는 실제 킷을 사용하여 결과물을 킷에 출력도 해보고 하는 것이라 처음에는 약간 어렵고 난해하
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA_RSTB : IN std_logic; FPGA_CLK : IN std_logic; load_operand1 : IN std_logic; load_operand2 : IN std_logic; load_plus : IN std_logic; load_minus : IN std_logic; …… ① calculate : IN std_logic; operand : IN std_logic_vector(3 downto 0); LCD_A : OUT std_logic_vector(1 downto 0); LCD_EN : OUT std_lo
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
FPGA킷을 이용하여 설계를 하는 것과, FPGA 킷을 이용하지 않고 testbench를 이용해서 동작 특성을 보이는 것을 설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
XILINX FPGA World 김 혁 | 엔트미디어 | 2006년 04월 [4] ISE를 이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRI
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
FPGA를 이용한 설계 흐름 2. 본론 2.1 Xilinx ML310 Board Specification 2.1.1 ML310 Board 2.1.1 Virtex2pro 2.2 Ethernet MAC 연구 2.2.1 Ethernet MAC 원리 2.2.2 Ethernet MAC 스케메틱 및 핀배치 2.3 OFDM MODEM 구현 2.3.1 OFDM MODEM의 원리 2.3.2 OFDM MODEM 구현 2.3.2.1 Mapper & Demapp
  • 페이지 77페이지
  • 가격 10,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top