• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,021건

설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험 과목을 수강하면서 생소했던 vhdl coding을 배우고, 매주 이론과 실습을 반복하면서, vhdl이라는 언어에 친숙해
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하는 실험이었다. 지난 번 실험 때는 vhdl이라는 것이 너무나도 생소하였고, model sim이나 xilinx 프로그램을 태어나서 처음 접하였기에 많이 헤맸었다. 하지만 실험 내용을 집에서 연습해 보면서 어떤 방식으로 논리회로가 동작하는지 원리
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험을 진행하여서 인지 어떠한 방식으로 RAM이 작동을 하는지 쉽게 이해할 수 있었다. 실험이 한 주 한 주 진행될수록 VHDL이라는 언어에 점점 더 다가가는 것 같아서 마음이 뿌듯했고, 다음 실험도 수업시간에 집중하고, 집에서 미리미리 예습
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계 예습을 하지 않았었지만 처음 수업할 때보다 훨씬 더 능숙하게 구현해 낼 수 있어서 그 동안의 수업으로 VHDL을 많이 이해한 것 같아서 뿌듯하였다. 다음 실험도 마찬가지로 열심히 공부하여 성공적인 설계를 이끌어 내야겠다. 1. Purpos
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
실험에서는 flip-flop을 이용하여 3가지 shift연산을 수행하는 shifter을 설계하였는데 이전의 실험들과는 다르게 componenting을 할 필요가 없었다. 여태까지 설계할 때에는 port mapping 하는 것에서 애를 많이 먹었었는데, 이번에는 VHDL Module파일과 VHDL T
  • 페이지 7페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

회로설계 시 필요한 많은 이론과 실험 수업을 통해 실제적인 지식을 적용시켜 작품을 만들어 낸 것은 보람이 있었다. 학부 과정 중 배운 이론을 토대로 시물레이션(P-SPICE)을 이용해 회로를 디자인하고 실제로 제작을 해보는 과정에서 제작 시
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계하였다. <1> 전하펌프를 설계하는데 있어서는 위에 회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 배경 1 제 2 장 본 론 2 2.1 구조 및 동작원리 2 2.2 등가회로 분석 3 2.3 실험장치 구성 및 방법 11 2.3.1 실험장치 구성 11 2.3.2 실험 방법 12 2.4 분석 사항 13 제 3 장 실험결과 분석 14 3.1 결과분석 14 제 4 장
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로를 pspice 툴을 이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
4.2.5 DC Motor Drive 18 4.2.6 Camera Module 18 4.2.7 JTAG Port 19 4.2.8 LED Light / Power(+3.3v) 19 제 5 장 제작 및 실험 20 5.1 조작부 회로기판 20 5.2 동작부 회로기판 20 5.3 동작부 몸통(회로기판 제외) 21 제 6 장 결 론 22 參考文獻 23
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 43건

설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계에 대하여 학습. [전자회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+]
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로 종합설계 발표_대본.hwp…………………………………………………1p ▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒▒ 종합설계 최종보고.hwp………………………………
  • 가격 4,000원
  • 등록일 2013.04.25
  • 파일종류 압축파일
  • 직종구분 IT, 정보통신
top