[전자공학실험] 비동기식카운터-결과레포트
본 자료는 3페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
해당 자료는 3페이지 까지만 미리보기를 제공합니다.
3페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[전자공학실험] 비동기식카운터-결과레포트에 대한 보고서 자료입니다.

목차

1. 비동기식 MOD-10 카운터

2. 존슨카운터

본문내용

것을 알 수 있다.
③ Q2
이제 Q2의 파형을 보자 이것과 위의 파형들과 비교해 보자 Q0와는 4배 차이가 Q1과는 2배 차이가 난다. 이것으로 Q2의 특성을 이해 할수 있다. Q2다 1에서 0으로 바뀌면 Q2가 반전되는 것을 알 수 있다.
④ Q3
이제 Q3의 파형을 관찰해 보자 자세히 보면 그 차이를 알 수 있다. 오실로 스코프의 화면에 직사각형의 칸이 여러개 있는데 Q2와의 파형와 Q3와의 파형의 주기를 그 칸으로 재보면 우선 Q2는 3칸 반 정도에 한번씩 반전된다 위의 표를 보면 알겠지만 0V 상태가 1주기만큼 이루어 지고 5V 의 상태가 Q2의 반주기 만큼 2칸 약간 모자른 것으로 나타나야 한다.
바로 위의 사진으로 알수 있는 것은 0V 의 상태가 7칸 정도 나타난 것을 알 수 있다. 그리고 5V 의 상태가 2칸약간 모자른 상태에서 2번 나타남을 알 수 있다. 이로서 MOD 10 카운터의 동작을 정확히 분석 할 수 있었다.
결론
이번 실험에서는 다른 것보다 조금 간단한 회로여서 만들기 간단했다. 그만큼 정확한 결과 값이 나와서 실험이 수월하게 이루어 졌다. 처음에는 결과 값을 나타내기 위해서 멀티미터를 이용하려고 했으나. 그저 0V 5V를 바꿔가며 변화되는 것을 관찰할 수 있지만 그것을 레포트로 나타내기는 힘이 들었다. 그 변화를 시간에 대한 것으로 나타내야 하지만 종이로는 그런 것을 나타내기 힘들기 때문이다. 그래서 생각한게 오실로스코프를 이용하는 것이다. 너무 오랫만에 써보는 것이어서 처음에는 주파수를 잡기 힘들었지만 조금 하다보니 우리가 원하는 파형을 얻을수 있었다. function generator에서 TTL 파형을 출력하고 주파수가 1Hz 정도로 했기 때문에 주파수 잡기가 많이 힘들었지만 한번 성공하지 다른 실험들도 쉽게 할수 있어서 다행이었다.
실험 회로 2 결과
그림 2_1 위: CP 아래: Q1
그림 2_2 위: Q1 아래: Q2
그림 2_3 위: Q2 아래:Q3
그림 2_4 위:Q3 아래: Q4
진 리 표
순서
Q1
Q2
Q3
Q4
0
0
0
0
0
1
1
0
0
0
2
0
1
0
0
3
1
1
0
0
4
0
0
1
0
5
1
0
1
0
6
0
1
1
0
7
1
1
1
0
8
0
0
0
1
9
1
0
0
1
출력은 입력 CP:1의 클럭 신호에 대한 출력 A, B, C, D의 상태를 확인하는 것으로 비동기적으로 카운터 된다. 클럭이 1개가 입력되면 A가 1 상태, 클럭이 2개째 때 B가 1 상태, 클럭이 4개째 때 C가 1 상태, 클럭이 8개째 때 D가 1 상태가 된다. 따라서 10번째 클럭이 입력되면 NAND gate에 의해 카운터가 Reset이 되어 처음부터 다시 카운터 된다.
즉, 그림 2_1,2,3처럼 주기가 2배씩 늘어난다. 그것은 진리표를 통해서도 확인 할수 있다. 그러나, 그림 2_4를 보면, Q4의 HI 듀티가 Q3보다 낮은걸 볼수 있다. 그 이유는 진리표를 보면 쉽게 알수 있다.
왜냐하면, Q4는 9일때, 즉 1이 2번만 나타나고 나서 다시 0으로 돌아가기 때문이다. 그래서, 다른 출력 보다 HI 듀티가 낮은 것이다. 그이유는 이 회로가 MOD-10 으로 설계 되었기 때문이다.
실험결과 4.
이번 실험은 74LS194를 사용한 4비트 8상태의 존슨 카운터를 설계하고, 존슨카운터의 동작을 확인하는 실험이다. 많은 칩이 사용되지 않아서 비교적 빠른 시간안에 설계를 마칠 수 있었고, 테스트도 순조롭게 진행되었다.
위의 시뮬레이션과 동일한 구성으로 회로를 제작했고, 결과는 오실로 스코프를 통해 출력파형을 조사했다.
오실로 스코프로 출력된 파형은 시뮬레이션에서 확인했던 파형과 일치했다. 0에서 7까지 8비트 카운팅을 하는 동안 0으로 되어있던 파형이 일정시간동안 1 상태를 유지하다가 다시 0으로 되는 것이다. 이 현상은 Q1부터 Q4 까지 모두 나타났는데, 단, 시간차를 두고 나타난 것이다. 이렇게 출력되는 카운팅은, 같은 카운트 횟수로, 2배의 효율을 얻을 수 있다. 존슨카운터의 각 포트 출력을 진리표로 표시했다.
진리표
Q0
Q1
Q2
Q3
Counter
0
0
0
0
0
1
0
0
0
1
1
1
0
0
2
1
1
1
0
3
1
1
1
1
4
0
1
1
1
5
0
0
1
1
6
0
0
0
1
7
위의 결과를 통해 알 수 있는 것은, 카운팅을 하나씩 할때마다, 0으로 구성되어있던 4비트가 왼쪽부터 1씩 바뀌기 시작해서 모두 1이 된 후에는 다시 왼쪽부터 0으로 바뀌기 시작하는 것이다. 이렇게 해서 모두 8가지의 상태를 표시할 수 있다.
Reference
참고도서
(디지털 논리와)컴퓨터설계 Mano, M. Morris 교보문고
(공학도를 위한 알기쉬운)디지털공학 이재수 한올
(디지털)논리 회로설계 이상부 정일
인터넷 사이트
http://user.chollian.net/%7Ewow7/electro/basciff/basciff.htm
http://user.chollian.net/%7Ewow7/electro/RSFF/RSFF.htm
http://www.ups.pe.kr/flipflop.html
http://princess.kongju.ac.kr:8080/DigitalMain/dvlec/textbook/chap07/digital07_2.htm
http://archi.chungbuk.ac.kr/multimedia/lecture03/
http://www.happycampus.com/pages/2002/12/06/D1153949.html
http://www.happycampus.com/pages/2001/04/13/D1023367.html
http://www.pyc.pe.kr/computersystem/chapt-16.html
http://www.daejin.or.kr/home/sksong/electron/junja_8/junja8-7.html
http://bk21.sch.ac.kr/%7Ejlink21/text/ch09_02_text.htm
http://bk21.sch.ac.kr/%7Ejlink21/text/ch09_04_text.htm
http://www.uichs.hs.kr/seol/%B1%B8%C1%B6/ff1.htm

키워드

  • 가격1,000
  • 페이지수10페이지
  • 등록일2006.05.31
  • 저작시기2006.3
  • 파일형식한글(hwp)
  • 자료번호#352348
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니