[M.Morris MANO] 디지털 논리와 컴퓨터 설계 7장 연습문제
본 자료는 1페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

[M.Morris MANO] 디지털 논리와 컴퓨터 설계 7장 연습문제에 대한 보고서 자료입니다.

목차

없음

본문내용

_logic;
Q : out std_logic
);
end component;
signal D_in, c, Q_out : std_logic_vector (3 downto 0)
begin
C(0) <= EN;
C(1) <= C(0) and Q_out(0);
C(2) <= C(1) and Q_out(1);
C(3) <= C(2) and Q_out(2);
CO <= C(3) and Q_out(3);
D_in(0) <= C(0) xor Q_out(0);
D_in(1) <= C(1) xor Q_out(1);
D_in(2) <= C(2) xor Q_out(2);
D_in(3) <= C(3) xor Q_out(3);
bit0 : diff
port map(Clock, Reset, D_in(0), Q_out(0));
bit1 : diff
port map(Clock, Reset, D_in(1), Q_out(1));
bit2 : diff
port map(Clock, Reset, D_in(2), Q_out(2));
bit3 : diff
port map(Clock, Reset, D_in(3), Q_out(3));
Q <= Q_out;
end counter_4_bit_arch;

키워드

한양대,   최병욱,   morris,   mano,   kime,   논리설계,   디지털,   logic
  • 가격1,000
  • 페이지수4페이지
  • 등록일2007.07.02
  • 저작시기2007.6
  • 파일형식한글(hwp)
  • 자료번호#418418
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니