• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 948건

ee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity TB_ALU is end TB_ALU; architecture TB of TB_ALU is component ALUport( sel : in std_logic_vector(4 downto 0); A, B : in std_logic_vector(7 downto 0); C_in : in std_logic; Y : out std_logic_vector(7 downto 0)); end component; signal sel
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
5장 Fuzzy Logic Toolbox Fuzzy Logic Toolbox Fuzzy Logic Toolbox는 MATLAB 환경 하에서 Fuzzy Interface System을 생성하고 수정하기 위한 함수들의 모음으로서, 다음 세가지 범주에서 사용이 가능합니다. • MATLAB 명령어 중심의 함수 및 실행 • GUI 환경의
  • 페이지 26페이지
  • 가격 2,000원
  • 등록일 2011.01.04
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 3.1 Combinational Networks and Switching Algebra 3.2 Logic Circuits 3.3 Registers and Their Applications 3.4 Programmable Arrays 3.5 Arithmetic Logic Units 3.6 Programmable Logic Logic Elements 79.1 IC Logic Family Operation and Characteristics 79.2 Logic Gates (IC) 79
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2014.04.06
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
riable에서는 variable m은 signal b와 c로 즉시 바뀌는 것을 볼 수 있다. ◆ if 1. 소스 library ieee; use ieee.std_logic_1164.all; entity iff is port(a,b,c : in bit; y : out bit); end iff; architecture sample of iff is begin process(a,b,c) begin if (c = '1') then y <= a nand b ; else y <= a or b;
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
logic vector 활용법을 익힐 수 있는 실습이다. <중 략> 4 to 16 VHDL Decoder의 코드는 다음과 같다. Input 은 4비트짜리 logic vector w이고 실습 조건에 따라 En도 input으로 추가하였다. Output은 16비트짜리 logic vector y이다. Enw를 내부 시그널로 선언
  • 페이지 28페이지
  • 가격 2,000원
  • 등록일 2020.11.23
  • 파일종류 아크로벳(pdf)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 8건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
Logical Foundation of Constitutional Democracy. Ann Arbor: University of Michigan Press. Fiorina, Morris. 1989. "Is Negative Voting an Artifact?" American Journal of Political Science vol. 33, pp.423-39. Green Donald and Ian Shapiro. 1994. Pathologies of Rational Choice Theory: A critique of applic
  • 페이지 13페이지
  • 가격 2,000원
  • 발행일 2010.06.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
logic of Space, Cambridge University Press. Jaskiewicz, F., 2000, “Pedestrian Level of Service Based on Trip Quality”, Transportation Research Circular, TRB. Jonathan, Byrd, and Sisiopiku, Virginia P., 2006, “Comparison of Level of Service Methodologies for Pedestrian Sidewalks”, TRB Annual Meeting.
  • 페이지 66페이지
  • 가격 5,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Logic and Flexible Production Systems in the World Auto Industry Ulrich Jurgens, Thomas Malsch and Knuth Dohse(1997), Breaking from Taylorism, Cambridge Ⅰ. 서론 Ⅱ. 한국 자동차산업 노사관계의 현황과 이슈 1. 한국 자동차산업 노사관계의 구조적 특성 2. 구조조정기 자
  • 페이지 32페이지
  • 가격 4,000원
  • 발행일 2005.10.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Logic of architecture』. MIT press.1990. 2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999. 3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988. 4. Edward T. White, 이용재 역. 『건
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 9건

logic sequence. Explain how the logic works and describe dependent on input signal A and B. Also, write a logic table and describe it by using a logic gate. (R1 ~ R7 is nothing but just a path of electrical signal. For example, you can describe electrical path as following. P -> R2 -> D1 -> A -> R6
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
Logic회로를 수정해가면서 응용하고자 하였습니다. 먼저 제품 공급, 제품 가공, 제품 검사 3가지로 분류하여 로직을 구성하기로 하였습니다. 제품 공급(Gravity Magazine)에 제품이 센서 LS1에 검출되고 기동스위치를 누르게 될 때 정상(녹색)램프가 O
  • 가격 4,500원
  • 등록일 2024.01.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
AMAT은 Logic Chip 생산 장비 기술력을 필두로 시장에 독보적인 영향을 끼치고 있으며 최근 고성능 저전력화를 위한 PPACt 솔루션을 비전으로 내세우며 중대 혁신을 진행 중입니다. 또한 근본이 되어주는 장비 기술력에 더불어 AGS의 역할 또한 이루
  • 가격 3,000원
  • 등록일 2023.03.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
공하고 컨베이어 물류를 통해 최종적으로 커피를 공급하는 Logic을 작성했습니다. 구동부와 감지 센서 배선작업을 완료하고 완성단계의 커피 자판기를 만들 수 있었습니다. 재료 조달 부분을 해결하는데 잠시 어려움을 겪었지만, 조원들끼리
  • 가격 1,500원
  • 등록일 2023.11.04
  • 파일종류 한글(hwp)
  • 직종구분 기타
심과 공유였습니다. 입사 후 먼저 업무의 Business Logic을 파악하고, 양질의 시스템과 프로그램을 개발하는 기술과 안목을 만들어 나가겠습니다. 또한 동료, 선배님들과의 밀접한 교류를 통해 지식과 현장을 이끌어가는 방법을 공유하겠습니다.
  • 가격 2,500원
  • 등록일 2010.06.30
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
top