• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 5건

반도체 직접회로설계 수업을 들으며 익힌 내용을 토대로 흔히 볼 수 있는 미니 커피 자판기를 Altera Quartus II 를 이용하여 VHDL 언어로 코딩 함으로 써 VHDL설계에 대한 이해도를 높인다. 미니 커피 자판기를 위한 코딩으로써 음식점 입구에서
  • 페이지 16페이지
  • 가격 3,000원
  • 등록일 2009.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
통해 보다 쉽게 이해하고 배울 수 있는 좋은 기회가 된 것 같다. 3.2 참고자료 ▶ DigitalDesign, J.F.Wakerly, PrenticeHall, 2006. ▶ DigitalDesign PRINCIPLS&PRACTICES, J.F.Wakerly, PrenticeHall, 2002. 1. 프로젝트 개요 2. Source Code 분석 및 설계 3. 프로젝트 고찰
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
머신 설계에 앞서 동작 알고리즘을 작성한 뒤에 각각의 상태에서 동작 알고리즘을 만족하도록 코딩을 시작했습니다. 무작정 코딩을 통해 구현하는 방법보다는 정해진 순서를 통해 구하는 방법이 수월하였습니다. 상태 변수 할당 및 VHDL 문법
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
5.4 OFDM 이론 참고문헌 5.5 OFDM MODEM 참고문헌 5.6 UART 참고문헌 5.7 MFC 참고문헌 6. 졸업작품 후기 7. 부록 - 소스코드 7.1 OFDM MODEM 7.1.1 UART BaudGen 7.1.2 UART 송신부 7.1.3 UART 수신부 7.1.4 QPSK Mapper 7.1.5 QPSK Demapper 7.1.6 FFT/IFFT 7.2 OFDM Client
  • 페이지 77페이지
  • 가격 10,000원
  • 등록일 2006.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계4주차: MAX PLUS II 의 Toll과 문법을 사용 하여 순차회로를 설계 ──────────────────────────────────── 공 학 이 론 순차회로의 상태 그래프, 상태도, 천이표, 밀리 머신의 특징 VHDL에 사용되는
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2011.06.10
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 1건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
top