• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 307건

순차회로를 설계 ──────────────────────────────────── 공 학 이 론 순차회로의 상태 그래프, 상태도, 천이표, 밀리 머신의 특징 VHDL에 사용되는 Toll, MAX PLUS II의 문법과 사용법, 시물레이션 수
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2011.06.10
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
순차회로를 설계할 경우 다음과 같다. 현 상태 Q(t) 입력 다음 상태 Q(t+1) 플립플랍 입력 A B x A B DA DB 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 1 0 1 0 0 1 0 1 0 1 0 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 0 0 0 0 따라서 출력 방정식은 y = ABx 이다. D 플립플랍의 경우가
  • 페이지 12페이지
  • 가격 2,000원
  • 등록일 2011.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL 코드 작성과 순차회로의 상태를 이해하는데 도움이 되었다. 'Traffic Light Controller' 프로젝트를 수행하면서 실제 생활에서 사용되는 신호등을 구현에 가장 큰 목표를 두었고, 이후에 응급차와 같은 비상신호를 입력받아 구현하는 추가 목표
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
then REG <= LDDATA; elsif ENABLE=’1’ then if (CLK=’0’ and CLK’event) then REG <= REGIN; end if; end if; end process; end RTL2; 1.순서(순차) 논리 회로의 개념 2.여러 순서 논리 회로의 VHDL 표현 3.Homwork
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2007.01.08
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로를 시뮬레이션이나 합성시 이미 별도로 합성된 다른 파일과 연결시켜 주는 역할도 한다. 링커(linker)와 비슷한 역할이라 할 것이다. 13. 마치며.. 지금까지 VHDL에 관하여 간략하게 알아보았다. 이번 숙제를 통하여 VHDL에 대한 배경부터 장점
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 2건

작 시의 주의사항이었다. 회로제작은 설계사항에 따라 NE555 - 7490 - 7442 - LED 로 구성하여 NE555에서 구형파를 발생시켜 넣어주면 LED 다이오드가 순차적으로 발광되도록 하였다. 그리고 LED 다이오드가 ON되는 순차적인 속도는 9V의 입력을 받는 첫
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로를 pspice 툴을 이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 3건

회로에 관한 세미나를 하면서 순차회로를 처음 접하게 되었을 때 그리고 VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
회로 프로젝트로 QuartusⅡ 소프트웨어를 이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로이론, 전자기학, 자료구조 등의 실제 전자공학 관련이론들이며 이런 이론들을 열심히 공부하여 컴퓨터 프로그램 및 데이터통신과 접목시키는 분야를 응용, 발전시키고자 합니다. 이를 바탕으로 독창적 이론으로 석사논문과 나아가 박사
  • 가격 2,000원
  • 등록일 2008.11.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top