doorlock_1
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
  • 27
  • 28
  • 29
  • 30
  • 31
  • 32
  • 33
  • 34
  • 35
  • 36
  • 37
  • 38
  • 39
  • 40
  • 41
  • 42
  • 43
  • 44
  • 45
  • 46
  • 47
  • 48
  • 49
  • 50
  • 51
해당 자료는 10페이지 까지만 미리보기를 제공합니다.
10페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

doorlock_1에 대한 보고서 자료입니다.

목차

[db]
[doorlock]
[incremental_db]
door_lock.done
door_lock.flow.rpt
door_lock.map.rpt
door_lock.map.summary
door_lock.qpf
door_lock.qsf
door_lock.qws
door_lock.vhd
door_lock.vhd.bak

245KB

본문내용

door_lock.vhd






library ieee;
 use ieee.std_logic_1164.all;
 use ieee.std_logic_arith.all;
 use ieee.std_logic_unsigned.all;
 
entity door_lock is
port(
  clk : in std_logic;
    sw1,sw2 : in std_logic;
    key1  : in std_logic;
    clear : in std_logic;
    led1,led2,led3,led4,ledok : out std_logic;
    segs1,segs2,segs3,segs4 : out std_logic_vector(0 to 6)
    );    
end door_lock;

architecture t_1 of door_lock is
  type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
    signal state : state_type;
    signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
    signal key_d,key_det : std_logic;
    signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;
    signal save1,save2,save3,save4 : std_logic_vector(3 downto 0);
begin


 process(clear,clk)
    begin
     if(clear ='1') then
         sw1_d <= '0';
         sw1_det <= '0';
         sw2_d <= '0';
         sw2_det<= '0';
         key_d <= '0';
       key_det <= '0';
     elsif rising_edge(clk) then
         sw1_d <= sw1;
         key_d <=key1;
         sw2_d <= sw2;
         if(sw1='1') and (sw1_d ='0') then
            sw1_det <= '1';
         elsif(sw2='1')and (sw2_d ='0') then
         sw2_det <= '1';
         elsif(key1='1') and (key_d = '0') then
         key_det <= '1';
         else
         key_det <= '0';
         sw1_det <= '0';
         sw2_det <= '0';
         end if;
     end if;
 end process;

키워드

밴딩머신,   vhdl,   소스,   설계,   자료,   자판기
  • 가격3,000
  • 페이지수51페이지
  • 등록일2012.06.24
  • 저작시기2012.6
  • 파일형식기타(egg)
  • 자료번호#755121
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니