카운터를 이용한 디지털 시계 구현
본 자료는 2페이지 의 미리보기를 제공합니다. 이미지를 클릭하여 주세요.
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
해당 자료는 2페이지 까지만 미리보기를 제공합니다.
2페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

카운터를 이용한 디지털 시계 구현에 대한 보고서 자료입니다.

목차

1. 이론

2. VHDL로 구현한 프로그램 code

본문내용

기식 10진 카운터 회로에서 10번째 클록 펄스가 들어오면 원래 출력은 1010이 되어야 하는데, 이때 NAND 게이트 출력이 0으로 바뀌게 되므로 이것이 귀환되어 결국 모든 플립 플롭을 클리어(clear)시켜 맨 처음의 상태 0000으로 되돌아 가게 된다.
이러한 관계를 표 14-1 에 나타내고 있다.
표 14-1 비동기식 10진 카운터 계수 동작표
클록 펄스(Cp)
D
C
B
A
0
1
2
3
4
5
6
7
8
9
10`
10
11
0
0
0
0
0
0
0
0
1
1
1
0
0
0
0
0
0
1
1
1
1
0
0
0
0
0
0
0
1
1
0
0
1
1
0
0
1
0
0
0
1
0
1
0
1
0
1
0
1
0
0
1
2. VHDL로 구현한 프로그램 code
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity counter is
port (clk : in std_logic;
reset : in std_logic;
sec : out std_logic_vector (5 downto 0);
min : out std_logic_vector (5 downto 0);
hour : out std_logic_vector (4 downto 0));
end counter;
architecture beha of counter is
signal c_min : std_logic_vector (5 downto 0) :="000000";
signal c_sec : std_logic_vector (5 downto 0) :="000000";
signal c_hur : std_logic_vector (4 downto 0) :="00000";
begin
process (CLK, reset)
begin
if(reset='1') then
c_min <= "000000";
c_sec <= "000000";
c_hur <= "00000";
elsif (CLK'event and clk = '1') then
c_sec<=c_sec+1;
if (c_sec="111100") then
c_min<=c_min+1;
c_sec<="000000";
if (c_min="111100") then
c_hur<=c_hur+1;
c_min<="000000";
if (c_hur="11000") then
c_hur<="00000";
end if;
end if;
end if;
end if;
sec<=c_sec;
min<=c_min;
hour<=c_hur;
end process;
end beha;
<파형>
<시작 파형>
-->순차적으로 up 카운터 되는 것을 확인 할 수 있다.
<60s --> 1m 로 변하는 곳>
약간의 delay(0.0045us) 있음!
-->60초가 된 후 초는 0으로 바뀌고 분은 1분이 된다.
<60m --> 1h로 변하는 곳>
약간의 delay (0.0045us) 발생!

모든 s, m, h가 0값으로 reset 된다.
==> 디지털 시계 카운터에서는 up-counter로만 구현되며 60 이상의 숫자 범위를 넘지 않도록 구현된다.
즉, 60s -> 1m
60m -> 1h
그러나 24h이 되는 찰나에 모든 s, m, h를 0값으로 보낸다.
==> 파형을 볼 시에는 뒤에 시와 분이 변하는 것도 보기 위해서 Option에서 Grid Size를 100ns --> 20ns로 짧게 주어 클록이 짧은 시간에 변하도록 한다.
또한, File에서 End Time을 1us --> 200us로 변화 시켜 시와 분이 변하는 것도 관찰한다.

키워드

  • 가격1,000
  • 페이지수8페이지
  • 등록일2010.05.13
  • 저작시기2005.10
  • 파일형식한글(hwp)
  • 자료번호#610312
본 자료는 최근 2주간 다운받은 회원이 없습니다.
  • 편집
  • 내용
  • 가격
청소해
다운로드 장바구니