디코더 설계
본 자료는 미만의 자료로 미리보기를 제공하지 않습니다.
닫기
  • 1
  • 2
  • 3
해당 자료는 1페이지 까지만 미리보기를 제공합니다.
1페이지 이후부터 다운로드 후 확인할 수 있습니다.

소개글

디코더 설계에 대한 보고서 자료입니다.

목차

1. 3:8 Decoder Verilog code 설계 및 구현
2. Testbench 설계
3. Simulation 결과
4. Genesys board 동작 확인

본문내용

의 값과 시뮬레이션 값이 일치.
입력
출력
sw0
sw1
sw2
LD0
LD1
LD2
LD3
LD4
LD5
LD6
LD7
0
0
0
1
0
0
0
0
0
0
0
0
0
1
0
1
0
0
0
0
0
0
0
1
0
0
0
1
0
0
0
0
0
0
1
1
0
0
0
1
0
0
0
0
1
0
0
0
0
0
0
1
0
0
0
1
0
1
0
0
0
0
0
1
0
0
1
1
0
0
0
0
0
0
0
1
0
1
1
1
0
0
0
0
0
0
0
1
4. Genesys board 동작 확인
그림 2. Board 동작. 입력:0001_1111 그림 2. Board 동작. 입력:0000_0001
그림 2. Board 동작. 입력:0000_0011
입 력
출 력
A
B
C
F
0
0
0
Y0
0
0
1
Y1
0
1
1
Y3
  • 가격6,300
  • 페이지수3페이지
  • 등록일2016.03.13
  • 저작시기2015.9
  • 파일형식한글(hwp)
  • 자료번호#996934
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니