• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 120건

것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 1. 개 요 2. 문 제 (1) 3*8 Decoder (2) 3*8 Encoder 3. 고찰 및 의견 4. 참고 자료
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
n Cn-1 Sn Cn 7. 7448을 이용하여 2진수를 10진수로 표시하는 회로를 구성하시오. 8. 본과를 실험하면서 나름대로 배운점을 쓰시오. 재밌는 실험이었다. 학술제 준비를 하는 과정에서 필요한 부분이었다. 많은 도움이 되어 기분이 좋았다. 특히 7-세
  • 페이지 2페이지
  • 가격 500원
  • 등록일 2017.03.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디코더를 사용한 회로의 장단점을 간략하게 설명하라. 장 점 단 점 멀티플렉서를 사용한 회로 ▶4변수의 논리함수를 구성 가능 ▶병렬-직렬 데이터 변환 구성 가능 ▶임의 입력변수의 MUX 구성 가능 IC의 수 감소 디코더를 사용한 회로 설계가
  • 페이지 10페이지
  • 가격 4,200원
  • 등록일 2013.11.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1 A 1 0 1 0 B 1 0 1 1 C 1 1 0 0 D 1 1 0 1 E 1 1 1 0 F 1 1 1 1 16진수의 경우 한자리에 총 16가지의 숫자가 들어간다. 16은 2의 거듭제곱 꼴이므로 don't care를 고려하지 않아도 되어 10진수보다 더 쉽다. 표로 작성하면 아래와 같다. 
  • 페이지 2페이지
  • 가격 1,000원
  • 등록일 2007.11.05
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 3건

설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절. 각 블록 설명 3장. 2절. 1 Hamming encoder/decoder 3장. 2절. 2 BPSK Modulator/Demodulator 3장. 2절. 3 Error Rate Calculation 3장. 2절. 4 Display 3장. 2절. 5 시뮬레이션 BER 값의 결과 비교 3장. 3절 소프트
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 Ⅴ-3. 주요코드분석 Ⅴ-4. 실행화면 Ⅵ. 結 論 표 및 그림목차 그림 1. 도큐먼트-뷰-프레임 구조 그림 2. 기본 생성 클래스들의 상속관계 그림 3-1. TCP/IP와OSI 7 계층 프로토콜 구조의비교 그림3-2. TCP/IP 내부의 계
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3-2. OLED System Block Diagram 3-3. Data Latch, Parallel / Serial Data Conversion 3-4. Instruction Decoder 3-4-1. Instruction Command Set 3-5. Serial Data Interface 및 DDRAM Controller 동작 3-5-1. Serial Data Interface 3-5-2. Memory Controller 4. 결 론 5. 참고
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

담당 교수 프로젝트 명칭 2학년 2학기 디지털회로실험설계 / 이 진 교수님 로또 번호 발생기 주요 내용 ◎ 목 적 : 7-Segment와 7-Segment 디코더를 이용한 번호발생회로 구현 ◎ 설 명 : 7-Segment와 7-Segment 디코더를 이용하여 1~45까지의 번호를 출력하
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top