• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 22건

cnt); /* RH = 30 ~ 40 사이 일때 */ 1.작품명 2.작품 개요 3.목적 4.구성 블럭도 5.작동 설명 5.1회로도 5.2회로도 설명 5.3프로그램 설명 5.4소스프로그램 6.부품리스트 7.졸업작품 과정에서 느낀점 8.참고서적 9.데이터시트
  • 페이지 32페이지
  • 가격 10,000원
  • 등록일 2005.06.28
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
생겨서 좋은 기회인 것 같다. 1.작품명 2.작품 개요 3.목적 4.구성 블럭도 5.작동 설명 5.1회로도 5.2회로도 설명 5.3프로그램 설명 5.4소스프로그램 6.부품리스트 7.졸업작품 과정에서 느낀점 8.참고서적 9.데이터시트
  • 페이지 35페이지
  • 가격 10,000원
  • 등록일 2005.07.08
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
작품명 2. 작품 개요 3. 목적 4. 구성 블록도 5. 작동 설명 5.1 회로도 5.2 회로도 설명 5.3 프로그램 설명 5.4 소스프로그램 6. 부품 리스트 7. 졸업작품 과정에서 느낀점 8. 참고서적 9. 데이터시트 10. 롬라이터 사용시 주
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2006.07.03
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 도어락의 기본적인 기능인 잠금, 개방, 패스워드 입력 및 변경 기능을 구현할 것이다. 그리고 각 기능의 동작과 원리에 대한 동작과 원리 해석에 대해 알아보도록 하겠다. <중략> (1) 키 입력 및 디바운싱 기능 본 작품에서
  • 페이지 40페이지
  • 가격 9,000원
  • 등록일 2016.08.07
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ⅰ. 목 표 본 문서에서는 AVR ATmega128을 이용하여 전자계산기의 덧셈, 뺄셈, 곱셈, 나눗셈의 사칙연산을 구현한다. 본 전자 계산기는 키패드를 사용하여 값을 입력을 받고 CLCD를 통해 연산과정과 결과를 확인할 수 있도록 한다. 그리고 각각의
  • 페이지 28페이지
  • 가격 7,000원
  • 등록일 2016.08.07
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 2건

졸업 작품에 많은 부담을 가지고 시작하였고 막힐 때 마다 많이 힘들고 고생을 하였다. 하지만 고생하여서 허접하지만 나만의 작품을 만들다 보니 많은 정보를 알게 되었고, 직접 모터를 구동하고 LCD에 내 이름을 쓸 때 너무 재미있었다. 계속
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
작품의 필요성 2장. 작품과제 해결 방안 및 과정 3장. 개념설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top