• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 22,865건

컴퓨터 하드웨어 1. 컴퓨터시스템의 개요 2. 중앙처리장치(Centeral Processing Unit : CPU) 3. 자료와 명령의 표현 4. System Unit Ⅲ. 직렬처리 방식과 병렬처리 방식 1. 직렬처리 방식 2. 병렬처리 방식 Ⅳ. 직렬처리 방식에 대한 병렬처리 방식의
  • 페이지 20페이지
  • 가격 3,800원
  • 등록일 2008.09.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
컴퓨터는 컴퓨터가 하나의 완제품인 동시에 각각의 부분품이 모인 집합체이다. 컴퓨터시스템의 하드웨어를 분류하는 방식과 기준은 다양하다. 이에 다양한 기준들 중에서 과업처리 방식에 따라 분류할 때 직렬처리(Serial Processing) 방식과 병
  • 페이지 26페이지
  • 가격 3,500원
  • 등록일 2009.09.17
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
컴퓨터 하드웨어의 종류 및 특징 1. 중앙처리장치 2. 주변장치 Ⅲ. 직렬처리 및 병렬처리 방식 1. 직렬처리방식(Serial Processing) 2. 병렬처리 방식(Parallel Processing) Ⅳ. 직렬처리 방식에 대한 병렬처리 방식의 특징 1. 병렬처리 시
  • 페이지 12페이지
  • 가격 2,300원
  • 등록일 2013.04.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
컴퓨터시스템 구조론, 사이텍미디어, 2006 William K. Lan, 권오성 역, 하드웨어 설계기술, 교학사, 2005 한금희, 컴퓨터 과학 개론, 한빛미디어, 2004 한혁수, 소프트웨어공학의소개, 홍릉과학출판사, 2008 1. 하드웨어 분류 처리방식 1) 직렬처리
  • 페이지 10페이지
  • 가격 4,500원
  • 등록일 2009.10.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
컴퓨터구조론. 생능, 2003. 8. 정병태 외, 논리회로및컴퓨터구조실험. 홍진출판사, 1996. Ⅰ. 일반적인 컴퓨터의 처리 방식인 직렬처리 방식에 비해 병렬처리 방식의 특징에 대하여 1. 일반적인 직렬처리 방식과 병렬처리 방식의 구조 비교
  • 페이지 15페이지
  • 가격 3,500원
  • 등록일 2009.09.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 123건

처리 ----------------- 3.결과 ----------------- 3.1 전원부 ----------------- 3.2 DC 모터 ----------------- 3.3 서보 모터 ----------------- 3.4 프린터 포트 ----------------- 3.5 USB 캠 ----------------- 3.6 동작원리 ----------------- 3.7 영상처리 후 AVR로 데이터 전
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
Computer Networks- A Systems Approach 3rd Ed, Lab Manual " Ⅰ 서론 1. 서론 2. 멀티미디어 1) 멀티미디어의 정의 2) 미디어의 분류 3) 멀티미디어의 특성 4) 멀티미디어에 대한 2가지 개념 3. 멀티미디어의 통신 방식 1)
  • 페이지 19페이지
  • 가격 3,500원
  • 발행일 2009.06.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
처리한다. DDR의 address는 X-Y Address 영역으로 구분되어지며, 여기에 쓰이는 방식은 Instruction Set에 의해 결정되어진다. 그리고 초기 Address값을 갖게 된다. 이 같은 방식은 User의 초기 설정을 하지 않아도 Register에 저장되어 있는 Command에 의해 정해
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
컴퓨터범죄를 규제하지는 못하고 있다. 이러한 상황에 대한 대책으로 첫째, 개인이나 기업 등 사회의 모든 구성원이 컴퓨터범죄의 발생가능성에 대한 경계심을 가지고 컴퓨터 사용과 관련하여 적정한 사무처리와 함께 사전, 사후의 관리와
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2004.04.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2 MCU(Atmega128)핵심코드(타이머/인터럽트 설정) 3장. 3절. 3 MCU (Atmega128)핵심코드(UART 설정) 3장. 3절. 4 이벤트 생성 3장. 3절. 5 KEY PAD 3장. 3절. 6 타이머 처리 3장. 3절. 7 직렬통신 4장. 결론 및 기대효과 5장. 후기 6장. 참고문헌 별첨
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 879건

컴퓨터에 의한 집중적 처리방식으로부터 퍼스널 컴퓨터나 워크스테이션에 의한 분산처리 시스템으로 흐르는 방향을 의미합니다. 이것은 정보코스트가 팽창하고 현장의 요구가 중앙에 전달되기 어려운 일극집중체제, 그리고 한발 늦는 소프
  • 가격 2,000원
  • 등록일 2006.02.06
  • 파일종류 한글(hwp)
  • 직종구분 전문직
컴퓨터가 서로 연결되어 정보를 교환할 수 있는, 하나의 거대한 컴퓨터 통신망의 인터넷과, 전화를 통하여 매우 날쌔고 빠른 신속한, 어떤 일을 절차에 따라 정리하여 치르거나 마무리를 짓는 일 처리를 하고 있습니다. 그리고 00화재(주)는 무
  • 가격 1,500원
  • 등록일 2020.11.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
처리를 아주 잘하는 사람인 일꾼으로, 지금까지의 방식이나 태도를 버리고 새롭게 시작하여 거듭나는, 실현하고 싶은 희망이나 이상인 꿈을 가지고 있습니다. 1) 성장배경(成長背景) 2) 성격(性格)의 장점(長點) 3) 성격(性格)의 단점(短點
  • 가격 1,500원
  • 등록일 2020.11.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
처리를 추구합니다 -축구경기에서 스피디한 수비를 전문으로 합니다. -호리한 체구를 가지고 있습니다. -"주어진 일은 하고 휴식하자"저의 일처리 방식입니다. 4.기본적 문서서식 및 원활한 대화기술을 가지고 있습니다. -고등학교1,2학년
  • 가격 1,200원
  • 등록일 2010.02.23
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
컴퓨터 사용에 어려움이 있다고 알고 있습니다. 그들의 컴퓨터 활용에 도움이 되기 위해 뜻이 통하는 친구들과 함께 컴퓨터 무료교육봉사에도 관심을 가질 생각입니다. 3. 대학 졸업 후 희망진로 저는 성격이 개방적이고 활달한 편이라 동료
  • 가격 1,500원
  • 등록일 2008.12.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
top