• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6건

을 한 개의 4bit 덧셈기를 이용하여 디스플레이 하기쉽도록 다시 연산하여 출력하는 회로이다. 이 회로에 위에서 언급했던 뺄셈기의 원리를 조금만 적용하면 BCD 4bit 덧셈기/뺄셈기를 구현할수 있다. 즉 이 회로를 가지고 조금만 생각해 보면 만
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2006.04.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
4Bit 덧셈기 2개,AND 게이트 2개,OR 게이트 1개로 구현가능. Decimal symbol BCD digit 0 0000 1 0001 2 0010 3 0011 4 0100 5 0101 6 0110 7 0111 8 1000 9 1001 5. 실험 계획 [BCD 덧셈기_뺄셈기] => 스위치를 눌렀을 때는 2번째 입력이 보수가 취해지고 스위치를 누르지 않
  • 페이지 3페이지
  • 가격 2,000원
  • 등록일 2015.02.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
당하는 수에 0110을 빼주면 이를 BCD로 확인할 수 있다. 0110 0111 + 0101 0100 1011 1011 1011 1011 - 0110 0110 0101 0101 BCD 에 3을 더하여 Excess-3 코드로 변환시키고 더해준다. 따라서 정답은 1011 1011(Excess-3) 이다. 다시 3을 각각 빼주어서 BCD로 바꾼 다음 확인해
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.12.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가감산기의 결과 1) symbol_1 adder adder symbol 2) symbol_2 full adder full adder symbol 3) symbol_3 input_1 input_1 symbol 4) symbol_4 fulladder_2 fulladder_2 symbol 5) symbol_5 input_2 input_2 symbol 4) 최종 회로도 회 로 도 functional [덧셈기] functional [뺄셈기] ★ 고찰 - BCD 가감산기
  • 페이지 4페이지
  • 가격 1,600원
  • 등록일 2015.02.23
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
덧셈기에서 생성된 출력 캐리는 이미 출력 캐리단에서 정보를 제공했기 때문에 무시할 수 있다. n개의 10진수를 더하는 10진 병렬 덧셈기는 n개의 BCD 덧셈기의 단들이 필요하다. 한 단에서 출력되는 캐리는 다음 상위단의 입력 캐리에 연결되어
  • 페이지 5페이지
  • 가격 700원
  • 등록일 2005.12.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top